半导体4nm高端芯片直指高通,华为联发科即将再度合作?

半导体4nm高端芯片直指高通,华为联发科即将再度合作?,第1张

全球大部分高端芯片技术一直被美国所掌握,而高通又是美国一家在全球知名的半导体领域的高 科技 公司,几乎全世界各个国家的高端芯片都是从高通这里购买的,高通负责业务拓展的副总裁孟朴,就曾经表示几乎大部分中国厂商,以及全球对高端芯片有需求的厂商都是高通的客户,比如华为就是高通在全球最大的客户之一,而如今则不一样了,联发科正式进军芯片高端市场,打造出4nm制程工艺的高端芯片,彻底让高通感受到了前所未有的压力,华为也许会因此而转向联发科,与联发科再次进行深度合作。

我们都知道,联发科早在之前就想进入高端市场,从而打造出寄予厚望的天玑1200系列芯片,然而无巧不成书,当年联发科旗下的旗舰芯片就被用在小米的低端手机之上,后来天玑1200系列芯片更是与高端市场无缘,被用在了华为的中端手机之上,导致联发科不得不降低芯片性能,推出天玑1100系列芯片,这是联发科专门为中端市场准备的芯片,可就在这个关键的时刻,美国突然宣布允许高通向华为供货,而华为为了使用更高端的芯片来满足市场需求从而与高通继续合作,导致联发科彻底失去了这个全球最大的客户。

然而如今联发科抢先高通一步,首发新一代天玑2000系列芯片,该芯片不仅基于4nm制程工艺进行支持,更是采用了X2核心以及全新的V9架构,可以说整体功耗表现十分稳健,并且在GPU性能和CPU性能上实现了再一次的突破,与高通的骁龙898芯片相比,完全可以说得上是有过之而无不及。更重要的是,这款芯片的价格,比高通的旗舰芯片还要更低,估计终端价格将会在3000左右浮动,也就是说用高通骁龙870芯片的价格就可以获得比骁龙898芯片还要更高的性能体验,这无疑可以称得上是当今世界上性价比最高的芯片之王。

骁龙898芯片的制程工艺是5nm级别的,而天玑2000系列芯片是基于4nm制程工艺,可以说这两者完全不在一个量级,众所周知,芯片的制程工艺主要取决于栅极的宽度,栅极的宽度每提升1nm,那其性能都有着飞越性的提升,如今用于生产高端芯片的半导体硅材料,其实早已经达到摩尔定律的极限,要想不断突破1nm的宽度可谓是难于登天,然而联发科这家全球半导体巨头却做到了,不仅超越了高通更是领先于台积电,不得不说联发科的实力真的越来越强大了。

确实如此,联发科早在5G技术诞生之初,就通过天玑1000系列芯片打开了全球市场,再加上当时华为芯片困境和国产手机的崛起,联发科收到了大量来自国内的订单,从而在芯片销量上一度超越了高通,虽然后来美国突然宣布允许高通向华为供货,导致联发科又有所落后,但是如今4nm高端芯片的横空出世,势必会抢占高通在高端芯片领域更多的订单,同时也会迎来与华为的再度合作,那联发科芯片再度打败高通,成为全球芯片销量第一的供应商,就不再是什么难事了。

然而却有人不认可这个说法,他们认为华为在高端手机领域并没有太多市场份额,一直以来华为走的都是中端发展路线,所以4nm对于华为来说实在是太奢侈了,如果华为采用4nm制程工艺的芯片,那手机的价格也势必会更高,消费者自然就买不起了,所以华为肯定会继续与高通合作,才能保持价格上的稳定性,从而在中端手机领域越走越好。但是有人却不这么认为,从2020年华为发布P40系列产品来看,正是为了抢夺5G新市场格局的高端手机产品,可以说华为内部高管抱有非常大的信心,早就进一步做好了冲击高端市场的战略布局,其中Pro PE版本正是面对全球高端机用户和数码发烧友量身制定的,更何况联发科4nm芯片的价格,比高通的旗舰芯片还要更低,其性价比也更具优势,那华为又有什么理由不与联发科再度合作呢?

半导体制造设备和材料是半导体行业最上游的环节。目前来看,集成电路设备制造是中国芯片产业链中最薄弱的环节。经过20多年的追赶,中国与世界在芯片制造领域仍有较大差距。虽然中国在该领域整体落后,但刻蚀机方面已在国际取得一席之地。

全球半导体设备市场的后起之秀

随着近些年 社会 对集成电路的重视和大批海外高端人才的回归,我国的集成电路在这几年出现了飞速的发展。在IC设计(华为海思)、IC制造(中芯国际)、IC封测(长电 科技 )、蚀刻设备(中微半导体)上出现了一批批优秀的企业。

1、半导体设备

我们的主角中微半导体所在的领域就是半导体设备细分行业,这个行业主要有两种半导体设备,一是光刻机,一个是刻蚀机。中微是以刻蚀机为主要设备的供应商,去年12月公司自主研制的5nm等离子体刻蚀机正式通过台积电验证,将用于全球首条5nm制程生产线。

芯片,这个从前被戏称为:除了水和空气,其他都是进口的行业。最近中美贸易战的焦点就是在芯片领域,美国政府对华为的封锁就是下令美国供应商没有经过国会批准不准买给华为芯片。这也是我们非常气愤的地方,为什么中微半导体有了最先进的设备还是会受人制肘呢?

主要是我国的短板在于光刻机,与国外先进技术有非常大的差距。为什么刻蚀机技术那么好,不能弥补这个短板吗?这就是光刻机和蚀刻机的不同,有一部分人把蚀刻机与光刻机搞混。其实两者的区别非常的大,光刻机是芯片制造的灵魂,而蚀刻机是芯片制造的肉体。

光刻机把电路图投影到覆盖有光刻胶的硅片上面,刻蚀机再把刚才画了电路图的硅片上的多余电路图腐蚀掉。光刻机把图案印上去,然后刻蚀机根据印上去的图案刻蚀掉有图案(或者没有图案)的部分,留下剩余的部分就是集成电路。所以说这是两个过程要用到的设备,而且这两个过程是连续的。

我国光刻机的最高水平是上海微电子的90nm制程,世界顶尖的光刻机是ASML的7nm EUV光刻机,ASM已经开始研制5nm制程的光刻机。相对来说,我国在光刻机制造领域与国际先进水平有很大的差距,高端光刻机全部依赖进口。只能说我国的刻蚀机技术领先,中微半导体的介质刻蚀机、硅通孔刻蚀机位于全球前三。但是在整个产业链的产能和技术上,与一些大型的企业差距非常的大,所以在中美贸易战中显得很吃亏。

那我们说完了中微半导体这个单独的行业领域,现在放眼整个行业,来看看半导体设备到底在这个行业中扮演者什么角色?

2、半导体产业

半导体的发展是越来越集成化,越来越小。从早期的电子管到现在的7nm器件,一个小小的芯片上需要有几百个步骤和工艺,显示出高端技术的优越性。也正是这样的行业特点,导致整个行业非常依赖技术的创新。而半导体设备是制作芯片的基石,没有这一块芯片不可能出现。

可以看到虽然产值低,但是缺这个还真的没办法发展下游。这也是贸易战在芯片领域为什么大打出手的原因,没有先进的技术,很难发展非常广大的信息系统。可以说这一行创造的价值并不高,但是不能缺少,是高端技术的积累。

大国重器:7nm芯片刻蚀机龙头

在技术含量极高的高端半导体产业中,能与美欧日韩等国际巨头同台较量的中国企业凤毛麟角,而中微半导体是其中一家。中微半导体是一家以中国为基地、面向全球的高端半导体微观加工设备公司,主要从事半导体设备的研发、生产和销售。而要了解中微这家公司,先不得不介绍一下公司创始人尹志尧。

尹志尧是一个颇具传奇色彩的硅谷技术大拿。

1980年赴美国加州大学洛杉矶分校攻读物理化学博士,毕业后进入英特尔中心研究开发部工作,担任工艺程师;1986年加盟泛林半导体,开发了包括Rainbow介质刻蚀机在内的一系列成功的等离子刻蚀机,使得陷入困境的泛林一举击败应用材料,跃升为全球最大的等离子刻蚀设备制造商,占领了全球40%以上的刻蚀设备市场。

以此同时,泛林与日本东京电子合作,东京电子从泛林这里学会了制造介质等离子体刻蚀机,复制其Rainbow设备在日本销售,后来崛起为介质刻蚀的领先公司。

1991年,泛林遭老对手美国应用材料挖角,尹志尧先后历任应用材料等离子体刻蚀设备产品总部首席技术官、总公司副总裁及等离子体刻蚀事业群总经理、亚洲总部首席技术官。

为了避免知识产权风险,尹志尧从头再来,用不同于泛林时期开发的技术,研发出性能更好的金属刻蚀、硅刻蚀和介质刻蚀设备,应用材料再次击败泛林,重返行业龙头地位,到2000年,应用材料占据了40%以上的国际刻蚀设备市场份额。

目前全球半导体刻蚀设备领域三大巨头——应用材料、泛林、东京电子,都与尹志尧的贡献密切相关。

2004年8月,已年届六旬的尹志尧带领15名硅谷资深华裔技术工程师和管理人员回国,创立了中微半导体,并在短短数年之间崛起为全球半导体设备领域的重要玩家。

中微从2004年创立时,首先着手开发甚高频去耦合的CCP刻蚀设备Primo D-RIE,到目前为止己成功开发了双反应台Primo D-RIE,双反应台Primo AD-RIE和单反应台的Primo AD-RIE三代刻蚀机产品,涵盖65nm、45nm、32nm、28nm、22nm、14nm、7nm到5nm关键尺寸的众多刻蚀应用。

从2012年开始中微开始开发ICP刻蚀设备,到目前为止己成功开发出单反应台的Primo nanova刻蚀设备,同时着手开发双反应台ICP刻蚀设备。公司的ICP刻蚀设备主要是涵盖14nm、7nm到5nm关键尺寸的刻蚀应用。

这里面看起来是几个似乎不起眼的数据,但里面蕴含了满满的技术含量。而中微到底是否掌握了5nm刻蚀技术,一时间众说纷纭。如今,中微半导体与泛林、应用材料、东京电子、日立4家美日企业一起,组成了国际第一梯队,为全球最先进芯片生产线供应刻蚀机。

过去几十年,全球半导体行业增长主要受台式机、笔记本电脑和无线通信产品等尖端电子设备的需求,以及基于云计算兴起的推动。这些增长将继续为高性能计算市场领域开发新应用程序。

首先,5G将让数据量呈指数级增长。我们需要越来越多的服务器来处理和存储这些数据。2020年Yole报告,这些服务器核心的高端CPU和GPU的复合年增长率有望达到29%。它们将支持大量的数据中心应用,比如超级计算和高性能计算服务。在云 游戏 和人工智能等新兴应用的推动下,GPU预计将实现更快增长。例如,2020年3月,互联网流量增长了近50%,法兰克福的商业互联网数据交换创下了数据吞吐量超过每秒9.1兆兆位的新世界纪录。

第二个主要驱动因素是移动SoC——智能手机芯片。这个细分市场增长虽然没有那么快, 但这些SoC在尺寸受限的芯片领域对更多功能的需求,将推动进一步技术创新。

除了逻辑、内存和3D互联的传统维度扩展之外,这些新兴应用程序将需要利用跨领域的创新。这需要在器件、块和SoC级别进行新模块、新材料和架构的改变,以实现在系统级别的效益。我们将这些创新归纳为半导体技术的五大发展趋势。

趋势一:摩尔定律还有用,将为半导体技术续命8到10年…

在接下来的8到10年里,CMOS晶体管的密度缩放将大致遵循摩尔定律。这将主要通过EUV模式和引入新器件架构来实现逻辑标准单元缩放。

在7nm技术节点上引入了极紫外(EUV)光刻,可在单个曝光步骤中对一些最关键的芯片结构进行了设计。在5nm技术节点之外(即关键线后端(BEOL)金属节距低于28-30nm时),多模式EUV光刻将不可避免地增加了晶圆成本。最终,我们希望高数值孔径(High-NA) EUV光刻技术能够用于行业1nm节点的最关键层上。这种技术将推动这些层中的一些多图案化回到单图案化,从而提供成本、产量和周期时间的优势。

Imec对随机缺陷的研究对EUV光刻技术的发展具有重要意义。随机打印故障是指随机的、非重复的、孤立的缺陷,如微桥、局部断线、触点丢失或合并。改善随机缺陷可使用低剂量照射,从而提高吞吐量和成本。

为了加速高NA EUV的引入,我们正在安装Attolab,它可以在高NA EUV工具面世之前测试一些关键的高NA EUV材料(如掩膜吸收层和电阻)。目前Attolab已经成功地完成了第一阶段安装,预计在未来几个月将出现高NA EUV曝光。

除了EUV光刻技术的进步之外,如果没有前沿线端(FEOL)设备架构的创新,摩尔定律就无法延续。如今,FinFET是主流晶体管架构,最先进的节点在6T标准单元中有2个鳍。然而,将鳍片长度缩小到5T标准单元会导致鳍片数量减少,标准单元中每个设备只有一个鳍片,导致设备的单位面积性能急剧下降。这里,垂直堆叠纳米薄片晶体管被认为是下一代设备,可以更有效地利用设备占用空间。另一个关键的除垢助推器是埋地动力轨(BPR)。埋在芯片的FEOL而不是BEOL,这些BPR将释放互连资源路由。

将纳米片缩放到2nm一代将受到n-to-p空间约束的限制。Imec设想将Forksheet作为下一代设备。通过用电介质墙定义n- p空间,轨道高度可以进一步缩放。与传统的HVH设计相反,另一个有助于提高路由效率的标准单元架构发展是针对金属线路的垂直-水平-垂直(VHV)设计。最终通过互补场效应晶体管(CFET)将标准cell缩小到4T,之后充分利用cell层面上的第三维度,互补场效应晶体管通过将n-场效应晶体管与p-场效应晶体管折叠。

趋势2: 在固定功率下,逻辑性能的提高会慢下来

有了上述的创新,我们期望晶体管密度能遵循摩尔所规划的路径。但是在固定电源下,节点到节点的性能改进——被称Dennard缩放比例定律,Dennard缩放比例定律(Dennard scaling)表明,随着晶体管变得越来越小,它们的功率密度保持不变,因此功率的使用与面积成比例;电压和电流的规模与长度成比例。

世界各地的研究人员都在寻找方法来弥补这种减速,并进一步提高芯片性能。上述埋地电力轨道预计将提供一个性能提高在系统水平由于改进的电力分配。此外,imec还着眼于在纳米片和叉片装置中加入应力,以及提高中线的接触电阻(MOL)。

二维材料如二硫化钨(WS2)在通道中有望提高性能,因为它们比Si或SiGe具有更强的栅长伸缩能力。其中基于2d的设备架构包括多个堆叠的薄片非常有前景,每个薄片被一个栅极堆叠包围并从侧面接触。模拟表明,这些器件在1nm节点或更大节点上比纳米片的性能更好。为了进一步改善这些器件的驱动电流,我们着重改善通道生长质量,在这些新材料中加入掺杂剂和提高接触电阻。我们试图通过将物理特性(如生长质量)与电气特性相关联来加快这些设备的学习周期。

除了FEOL, 走线拥挤和BEOL RC延迟,这些已经成为性能改善的重要瓶颈。为了提高通径电阻,我们正在研究使用Ru或Mo的混合金属化。我们预计半镶嵌(semi-damascene)金属化模块可同时改善紧密距金属层的电阻和电容。半镶嵌(semi-damascene) 可通过直接模式和使用气隙作为介电在线路之间(控制电容增加)

允许我们增加宽高比的金属线(以降低电阻)。同时,我们筛选了各种替代导体,如二元合金,它作为‘good old’ Cu的替代品,以进一步降低线路电阻。

趋势3:3D技术使更多的异构集成成为可能

在工业领域,通过利用2.5D或3D连接的异构集成来构建系统。这些有助于解决内存问题,可在受形状因素限制的系统中添加功能,或提高大型芯片系统的产量。随着逻辑PPAC(性能-区域-成本)的放缓,SoC 的智能功能分区可以提供另一个缩放旋钮。一个典型的例子是高带宽内存栈(HBM),它由堆叠的DRAM芯片组成,这些芯片通过短的interposer链路直接连接到处理器芯片,例如GPU或CPU。最典型的案例是Intel Lakefield CPU上的模对模堆叠, AMD 7nm Epyc CPU。在未来,我们希望看到更多这样的异构SOC,它是提高芯片性能的最佳桥梁。

在imec,我们通过利用我们在不同领域(如逻辑、内存、3D…)所进行的创新,在SoC级别带来了一些好处。为了将技术与系统级别性能联系起来,我们建立了一个名为S-EAT的框架(用于实现高级技术的系统基准测试)。这个框架可评估特定技术对系统级性能的影响。例如:我们能从缓存层次结构较低级别的片上内存的3D分区中获益吗?如果SRAM被磁存储器(MRAM)取代,在系统级会发生什么?

为了能够在缓存层次结构的这些更深层次上进行分区,我们需要一种高密度的晶片到晶片的堆叠技术。我们已经开发了700nm间距的晶圆-晶圆混合键合,相信在不久的将来,键合技术的进步将使500nm间距的键合成为可能。

通过3D集成技术实现异质集成。我们已经开发了一种基于sn的微突起互连方法,互连间距降低到7µm。这种高密度连接充分利用了透硅通孔技术的潜力,使>16x更高的三维互联密度在模具之间或模具与硅插接器之间成为可能。这样就大大降低了对HBM I/O接口的SoC区域需求(从6 mm2降至1 mm2),并可能将HBM内存栈的互连长度缩短至多1 mm。使用混合铜键合也可以将模具直接与硅结合。我们正在开发3µm间距的模具到晶圆的混合键合,它具有高公差和放置精度。

由于SoC变得越来越异质化,一个芯片上的不同功能(逻辑、内存、I/O接口、模拟…)不需要来自单一的CMOS技术。对不同的子系统采用不同的工艺技术来优化设计成本和产量可能更有利。这种演变也可以满足更多芯片的多样化和定制化需求。

趋势4:NAND和DRAM被推到极限非易失性存储器正在兴起

内存芯片市场预测显示,2020年内存将与2019年持平——这一变化可能部分与COVID-19减缓有关。2021年后,这个市场有望再次开始增长。新兴非易失性存储器市场预计将以>50%的复合年增长率增长,主要受嵌入式磁随机存取存储器(MRAM)和独立相变存储器(PCM)的需求推动。

NAND存储将继续递增,在未来几年内可能不会出现颠覆性架构变化。当今最先进的NAND产品具有128层存储能力。由于晶片之间的结合,可能会产生更多的层,从而使3D扩展继续下去。Imec通过开发像钌这样的低电阻字线金属,研究备用存储介质堆,提高通道电流,并确定控制压力的方法来实现这一路线图。我们还专注于用更先进的FinFET器件取代NAND外围的平面逻辑晶体管。我们正在 探索 3D FeFET与新型纤锌矿材料,作为3D NAND替代高端存储应用。作为传统3D NAND的替代品,我们正在评估新型存储器的可行性。

对于DRAM,单元缩放速度减慢,EUV光刻可能需要改进图案。三星最近宣布EUV DRAM产品将用于10nm (1a)级。除了 探索 EUV光刻用于关键DRAM结构的模式,imec还为真正的3D DRAM解决方案提供了构建模块。

在嵌入式内存领域,我通过大量的努力来理解并最终拆除所谓的内存墙,CPU从DRAM或基于SRAM的缓存中访问数据的速度有多快?如何确保多个CPU核心访问共享缓存时的缓存一致性?限制速度的瓶颈是什么? 我们正在研究各种各样的磁随机存取存储器(MRAM),包括自旋转移转矩(STT)-MRAM,自旋轨道转矩(SOT)-MRAM和电压控制磁各向异性(VCMA)-MRAM),以潜在地取代一些传统的基于SRAM的L1、L2和L3缓存(图4)。每一种MRAM存储器都有其自身的优点和挑战,并可能通过提高速度、功耗和/或内存密度来帮助我们克服内存瓶颈。为了进一步提高密度,我们还在积极研究可与磁隧道结相结合的选择器,这些是MRAM的核心。

趋势5:边缘人工智能芯片行业崛起

边缘 AI预计在未来五年内将实现100%的增长。与基于云的人工智能不同,推理功能是嵌入在位于网络边缘的物联网端点(如手机和智能扬声器)上的。物联网设备与一个相对靠近边缘服务器进行无线通信。该服务器决定将哪些数据发送到云服务器(通常是时间敏感性较低的任务所需的数据,如重新培训),以及在边缘服务器上处理哪些数据。

与基于云的AI(数据需要从端点到云服务器来回移动)相比,边缘 AI更容易解决隐私问题。它还提供了响应速度和减少云服务器工作负载的优点。想象一下,一辆需要基于人工智能做出决定的自动 汽车 。由于需要非常迅速地做出决策,系统不能等待数据传输到服务器并返回。考虑到通常由电池供电的物联网设备施加的功率限制,这些物联网设备中的推理引擎也需要非常节能。

今天,商业上可用的边缘 AI芯片,加上快速GPU或ASIC,可达到1-100 Tops/W运算效率。对于物联网的实现,将需要更高的效率。Imec的目标是证明推理效率在10.000个Tops /W。

通过研究模拟内存计算架构,我们正在开发一种不同的方法。这种方法打破了传统的冯·诺伊曼计算模式,基于从内存发送数据到CPU(或GPU)进行计算。使用模拟内存计算,节省了来回移动数据的大量能量。2019年,我们演示了基于SRAM的模拟内存计算单元(内置22nm FD-SOI技术),实现了1000Tops/W的效率。为了进一步提高到10.000Tops/W,我们正在研究非易失性存储器,如SOT-MRAM, FeFET和基于IGZO(铟镓锌氧化物)的存储器。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/6264849.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-03-19
下一篇 2023-03-19

发表评论

登录后才能评论

评论列表(0条)

保存