vivado2015.4 simulator 存储所有信号到 .wdb 文件 并打开波形文件查看波形

vivado2015.4 simulator 存储所有信号到 .wdb 文件 并打开波形文件查看波形,第1张

vivado2015.4 simulator 存储所有信号到 .wdb 文件 并打开波形文件查看波形

OS WIN7vivado 2015.4
vivado自带的仿真

vivado project 包含一个block design, block design 中包含AXIPCIE, MIG, INTERCONNECTOR, 第三方IP,AXI APB BRIGE

仿真并保存所有信号到wdb文件的步骤:
1, simulation setting:vivado simulator, 仿真时间设置为10ns
2, run simulation
3,开始仿真时会d出behavior simulation窗口,因为1中设置的仿真时间很短,所以会很快停下来
4,在tcl console中运行 log_wave -r /
5, run 1ms (这里的时间值是根据实际仿真情况设置的)
6,仿真结束后,可以看到 .sim/sim_1/behave/ 路径下的 _behav.wdb 文件

打开wdb文件并查看波形
1,vivado菜单栏的flow : open static simulation :选择上面6中提到的wdb文件
2,在scope或object栏里右击 add to wave

转载:http://bbs.eetop.cn/viewthread.php?tid=595292

第二种:

仿真完保存board_behav.wcfg文件,下次打开:

vivado菜单栏的flow : open static simulation :选择上面6中提到的wdb文件

然后

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zaji/588903.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-04-12
下一篇 2022-04-12

发表评论

登录后才能评论

评论列表(0条)

保存