Vivado生成.bin文件并烧录

Vivado生成.bin文件并烧录,第1张

1.在Settings里勾选-bin_file选项大汪简,如图1所示。

2.添加约束文件到工程中,如图2所示。

3.编译项目即可生陵雀成.bin文件。

1. 选择Tools>>Generate Memory Configuration Files...,如图滚裤3所示。

2.如图4所示,设置生成.bin的选项,然后点击OK生成.bin文件。

Vivado是Xilinx最新的FPGA设计工具,支持7系列以后的FPGA及Zynq 7000的开发。与之前的ISE设计套件相比,Vivado可以说是全新设计的。无论从界面、设置、算法,还是从对使用者思路的要求,都是全新的。看了大家很多的博文,基本上都是用GUI创建工程,那我就简单介绍一下Vivado的脚本使用。

在ISE设计套件中,支持多种脚本: 可以用xperl来运行perl脚本,可以用xtclsh来运行Tcl脚本,还可以用windows批处理脚本来运行设计流程。

ISE集成的Tcl脚本解释器为8.4版本。同时,ISE GUI中的Tcl console功能不够强大,部分组件使用的脚本也与Tcl有不同,导致Tcl脚本在ISE上并不十分流行。

在Vivado上,Tcl已经成为唯一支持的脚本。并且,所有 *** 作都有对应的Tcl脚本可以执行。所以,掌握Tcl脚本语言对掌握Vivado的使用有重要帮助。

Vivado上集成的Tcl脚本解释器为8.5版本,也是目前比较流行的Tcl版本。Vivado的核心就是一个脚本解释器,GUI界面只是将各种脚本命令封装为图形化界面而已。

下面以Windows为平台,用脚本的思路,运行一下Vivado:

首先需要设置环境变量,在path环境变量中添加Vivado的路径,路径设置到bin文件夹,例如 C:\Xilinx\Vivado\2014.1\bin

在Windows界面下,“开始”->“运行”,输入cmd,打开windows命令行终端。这个时候 有三个选择:

1. 输入“vivado”,启动Vivado GUI界面,和点击桌面上的图标启动Vivado没什么区别;事实上,直接点击桌面图标,就是调用windows batch命令启动vivado

2. 输入“vivado -mode batch -source file.tcl”,从脚本批处理的形式启动Vivado,运行后直接执行file.tcl文件

3. 输入“vivado -mode tcl”,启动Tcl交互式命令行。

使用第三种方法。启动后显示Vivado的版本,这里使用2014.1

 银猛 输入命令 “info tclversion”可以查看颤搏碰到Vivado使用的Tcl的版本 8.5

Tcl是一种很容易用户自己定义命令的脚本语言,Xilinx在此基础上增加了大量Vivado的命令。对于Vivado自定义的非标准的Tcl命令,输入该命令后,继续输入空格+“-help”,可以查到该命令的详细解释。

Vivado GUI中的Tcl console和CMD启动的交互命令行功能基本相同,不同在于Vivado 在切换路径时可以利用CMD的自动补缺功能更方便的切换路径。

Vivado有两种设计流程:project模式和non-project模式。

如果已经有设计工程了,可以使用Tcl脚本继续进行project的流程,例如:

open_project TEST.xpr    #打开已有的工程文件TEST.xpr

launch_runs synth_1      #运行综合 synth_1

wait_on_run synth_1      #等待综合结束

launch_runs impl_1 -to_step write_bitstream    #运行实现impl_1,并生成bit文件

wait_on_run impl_1       #等待实现结束

如果使用non-project模茄谈式,则脚本会复杂一些,下面提供一个模板。

注:英文注释是参考Xilinx相关文档,中文注释是为了方便阅读,由于Vivado原生不支持中文,所以为了避免不必要的错误,建议使用时去除中文

_delay 。其中,只有那些从 FPGA 管脚敬滑进入和/或输出都不经过任何时序元件的液稿茄纯组合逻辑路径可以用 set_max_delay / set_min_delay 来约束,其余 I/O 时序路径都必须由set_input_delay / set_output_delay 来约束。如果对 FPGA 的 I/O 不加任何约束,Vivado 会缺省认为时序要求为无穷大,不仅综合和实现时不会考虑 I/O 时序,而且在时序分闹察析时也不会报出这些未约束的路径。

本文以下章节将会着重


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/bake/11991575.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-20
下一篇 2023-05-20

发表评论

登录后才能评论

评论列表(0条)

保存