光刻技术领域的期盼与黯淡

光刻技术领域的期盼与黯淡,第1张

  数十年来,光刻一直是关键的芯片生产技术。今天它仍然很重要。不过,在最近的SPIE先进光刻技术大会中,一些迹象显示,光刻界及其客户需要的微缩──字面上的微缩──事实上几乎已经有点像心理安慰了。

  焦虑、紧张和忧虑或许适合用来描述今天的光刻领域。但也许后头还有更严重的苦难,因为三个主要的下一代候选光刻技术(NGL)──超紫外光(EUV)、无光罩和纳米压印──统统迟到。第四个NGL选项:定向自组装(DSA)尽管来势汹汹,但它毕竟仍处于探索阶段。

  摆脱光刻技术的沮丧看来遥遥无期。今天的193nm浸入式光刻技术仍然远远领先。业界一度认为193nm浸入式光刻会在32nm遭遇极限,但令人惊讶,看来该技术可扩展到1-x纳米节点。不过,要获得这些几何图形,芯片制造商必须采取更多、更昂贵的双重曝光步骤。

  而一度领先的下一代光刻候选技术EUV仍然顽固地因为缺乏光源能、阻抗和关键光罩以及量测基础设施而延迟。结果是EUV的声望持续下滑,并失去了在1-x纳米节点大展身手的良机。

  NAND闪存供应商之间存在着一种对EUV的迫切感,因为需要EUV来实现进一步微缩。NAND闪存厂商将193nm浸入式技术推进到了2-x纳米节点,但他们迟早需要在1-x纳米部份用到EUV技术。“我们的确曾对EUV技术充满寄望,”SanDisk公司技术总监Tuan Pham说。SanDisk和东芝(Toshiba)在日本有一座合资的NAND晶圆厂。

  当被问及是否担心EUV的地位时,Globalfoundries资深院士Harry Levinson表示:“我是必须担心。”Levinson也是该公司的光刻策略经理。

  由于EUV技术持续落后于半导体的微缩脚步,设备制造商ASML Holding NV据报导仍在思考将EUV波长从13nm减少到6.7nm,以追上摩尔定律。这也意味着该产业必须发展更新和更昂贵的EUV工具技术和基础架构,这让该领域的专家们不寒而栗。

  身处EUV技术阵营的领先芯片制造商,现在也对其他NGL技术持开放态度,如无光罩、纳米压印,甚至是未来的IC自组装。“赌注非常大,”VLSI Research公司总裁Risto Puhakka说。“如果你做出错误的决定,就可能导致一场灾难。”

  多年来,业界一直依靠传统的光学光刻来维持摩尔定律的发展。但恐怕早在上世纪70年代,光学光刻便将发展动能用光了。

  

光刻技术领域的期盼与黯淡,光刻世界的期待与沮丧(电子工程专辑),第2张

 

  图:业界预测的光刻技术发展路线图

  当时,芯片制造商认为他们需要昂贵的X光光刻以微缩元件。当光学光刻技术在20世纪80年代打破了1微米壁垒时,该技术推动了对X光的需求,但最终也将X光钉入了棺木。

  而后,在1990年代,关于光学光刻是末代技术的担忧再次浮现。当时有众多针对65nm芯片制造及以下制程的NGL技术,包括:157nm波长、电子束、电子束投影光刻(EPL)、超紫外光、离子束、解剖刀扫描电子束等。而在过去十年内,高指数(high-index)、纳米压印、无光罩和自组装也陆续问世。

  随着时间的推移,157nm、高指数、EPL、解剖刀扫描电子束和其他技术逐渐销声匿迹。今天,余下的四个竞争者是EUV技术、纳米压印、无光罩和自组装。

  在20世纪90年代,软X光技术的出现成为推动EUV的关键。包括英特尔(Intel)、超微(AMD)、摩托罗拉(Motorola)和国家实验室,开始在加州Livermore的Lawrence Livermore Labs开发EUV技术。

  EUV:前景光明,道路曲折

  EUV技术采用13.5nm波长,在真空室中加工。其光学元件基本上是一个可透过层间干扰方式反射光线的无缺陷透镜。

  EUV技术一度被认为可用于65nm节点的生产,但该技术不断延迟。而总拥有成本(COO)仍是一大问题,因为与现行的光学'光簇'(photo-cluster)售价7,000万美元相比,今天EUV的'光簇'(photo-cluster)售价高达1.2亿美元,Globalfoundries的Levinson表示。

  

 

  图:EUV光刻技术原理

  ASML、佳能(Canon)和尼康(Nikon)是三大主要的EUV扫描器制造商。但最近,佳能跌出了领先光刻厂商排行榜。

  剩下的两家厂商ASML和Nikon则有不同的策略。ASML公司正努力让EUV技术能应用在22nm节点,但Nikon认为在16或11nm节点前,该技术都尚未就绪。“EUV生态系统也会延迟,”Nikon的新世代技术开发部门总经理Yuichi Shibasaki说。

  尼康一直在开发的EUV工具称为EUV1,这是一套生产系统,据报导该系统预计在2012年左出货。

  ASML公司的策略相对明确。如同其193nm浸入式策略,该公司赶在Nikon之前向客户交付试产的EUV技术工具。ASML希望客户将藉由这套试产工具获得经验,从而逼使Nikon退出市场。

  截至目前,ASML已出货两套alpha EUV工具,一套交付给Albany Nanotech,另一套则交货给IMEC。ASML最近也对三星电子推出了其首款独立的预生产EUV工具NXE:3100。ASML公司刚刚付运另外一套系统给IMEC。整体来说,ASML现有六张NXE:3100订单。

  NXE:3100据闻拥有27nm解析度,数值孔径(NA)为0.25,场尺寸为26nm,光刻垒对(overlay)为4nm,flare则少于5%。这套工具售价将近1亿美元。

  该工具的目标是在今年底达到每小时60片晶圆的吞吐量。但目前它的吞吐量每小时仅5片晶圆。EUV工具每小时约需200W的功率来处理100~150片晶圆。目前,ASML的EUV工具仅运作在10W左右。

  分析师认为,ASML公司在推动EUV的过程中已经做了非常好的努力。现在,该公司的EUV客户正在等待由几家第三方合作伙伴开发的光源能。

  尽管问题频传,但ASML对光源供应商付出了无比耐心。“我们有三家全力合作的厂商,”ASML执行副总裁暨首席产品和技术长MarTIn van den Brink说。

  有两家厂商为ASML的NXE:3100工具提供光源能:Cymer公司和Ushio公司。Cymer已经开发了基于雷射激发电浆型(laser-produced plasma, LPP)技术的光源能。据ASML表示,Cymer的LPP光源能是在11W的持续功率上运作。

  竞争对手Ushio则正在开发一种基于放电技术的光源能。ASML称Ushio的光源能已达到12W功率。另一家厂商Gigaphoton公司则展现了20W的功率。

  “Cymer已经售出了四套EUV光源能给客户,而且正准备推出第五套,来自三星的初步反馈指出混合图案相当良好,但吞吐量很差,”Barclays Capital分析师CJ Muse在一份报告中提到。

  

欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/2560544.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-08-06
下一篇 2022-08-06

发表评论

登录后才能评论

评论列表(0条)

保存