国产十五家主要半导体设备厂商介绍

国产十五家主要半导体设备厂商介绍,第1张

前些天,我国本土半导体设备传来好消息,中微半导体设备(上海)有限公司自主研制的5nm等离子体刻蚀机经台积电验证,性能优良,将用于全球首条5nm制程生产线。刻蚀机是芯片制造的关键装备之一,中微突破关键核心技术,让“中国制造”跻身刻蚀机国际第一梯队。

近年来,我国大陆半导体设备企业一直在努力追赶国际先进脚步。在多种设备领域有一定突破,除了上述中微半导体的5nm等离子体刻蚀机之外,有越来越多的产品可应用于14nm、7nm制程。

但是,国内设备与国外先进设备相比仍有较大差距,主要表现在两方面:一是有一定竞争力的产品在领先制程上的差距;二是部分产品完全没有竞争能力或尚未布局,比如国内光刻机落后许多代际,仅能达到90nm的光刻要求,国内探针台也处于研发阶段,尚未实现销售收入。

那么,在国家的扶持下,经过这么多年的发展,我国本土半导体设备各个细分领域的发展情况如何呢?相关企业都有哪些?发展到了什么程度呢?下面就来梳理一下。

   北方华创

北方华创由七星电子和北方微电子战略重组而成。七星甴子主营清洗机、氧化炉、 气体质量控制器(MFC)等半导体装备及精密甴子元器件等业务,此外七星甴子还是国内真空设备、 新能源锂甴装备重要供应商。北方微甴子主营刻蚀设备(Etch)、物理气相沉积设备(PVD)、化学气相沉积设备(CVD)三类设备。

2010 年 3 月,七星甴子在深交所上市。 2016 年 8 月,七星甴子与北方微甴子实现战略重组,成为中国规模最大、产品体系最丰富、涉及领域最广的高端半导体工艺设备供应商,开成功引迚国家集成甴路产业基金(大基金)等战略投资者,实现了产业与资本的融合。 公司实际控制人是北京甴控,隶属于国资委。

2017 年 2 月,七星甴子正式更名为北方华创 科技 集团股仹有限公司,完成了内部整合,推出全新品牉“北方华创”,开形成了半导体装备、真空装备、新能源锂甴装备和高精密甴子元器件四大业务板块加集团总部的“4+1”经营管理模式。

北方华创的半导体装备亊业群主要包括刻蚀机、 PVD、 CVD、氧化炉、扩散炉、清洗机及质量流量控制器(MFC)等 7 大类半导体设备及零部件,面向集成甴路、先进封装等 8 个应用领域,涵盖了半导体生产前段工艺制程中的除光刻机外的大部分兲键装备。 客户包括中芯国际、华力微甴子、长江存储等国内一线半导体制造企业,以及长甴 科技 、 晶斱 科技 、华天 科技 等半导体封装厂商。

重组之后,北方华创业绩快速增长。2017 年实现营业收入 22.23 亿元,同比增长37.01%,归母净利润 1.26 亿元,同比增长 35.21%。 根据公司 2018 年半年报业绩快报,2018 年上半年公司实现营业收入13.95 亿元,同比增长 33.44%, 归母净利润 1.19 亿元,同比增长 125.44%。 随着下游晶圆厂投资加速, 公司半导体设备等觃模持续扩张。

长川 科技

长川 科技 是国内集成电路封装测试、晶圆制造及芯片设计环节测试设备主要供应商。 半导体测试设备主要包括分选机、 测试机和探针台三大类。自2008年4月成立以来,该公司率先实现了半导体测试设备(分选机和测试机) 的国产化, 并获得国内外众多一流集成电路企业的使用和认可。

该公司于 2012 年 2 月承担并完成国家“十二五”规划重大专项“极大规模集成电路制造装备及成套工艺”中的高端封装设备与材料应用工程项目,并于 2015 年 3 月获得国家集成电路产业基金投资。

该公司的测试机和分选机在核心性能指标上已达到国内领先、接近国外先进水平,同时售价低于国外同类型号产品,具备较高的性价比优势。 公司产品已进入国内主流封测企业, 如天水华天、 长电 科技 、 杭州士兰微、 通富微电等。 2017 年,该公司对外积极开拓市场, 设立台湾办事处,拓展台湾市场。

2013~2017年,长川 科技 营收实现了由 4,341 万元到 1.80 亿元的跨越,复合增速达39.75%。 2017 年,归属母公司净利润由992万元增长至 5,025 万元, 复合增速达31.48%。

中微半导体

中微半导体成立于 2004 年,是一家微加工高端设备公司, 经营范围包括研发薄膜制造设备和等离子体刻蚀设备、大面积显示屏设备等。该公司管理层技术底蕴深厚,大多有任职于应用材料、LAM和英特尔等全球半导体一流企业的经验。

中微半导体先后承担并圆满完成 65-45 纳米、 32-22 纳米、22-14 纳米等三项等离子介质刻蚀设备产品研制和产业化。 公司自主研发的等离子体刻蚀设备 Primo D-RIE 可用于加工 64/45/28 纳米氧化硅、氮化硅等电介质材料,介质刻蚀设备 Primo AD-RIE 可用于 22nm 及以下芯片加工,均已进入国内先进产线。中微半导体的介质刻蚀机已经完成了5nm 的生产。

晶盛机电

晶盛机电是一家专业从事半导体、光伏设备研发及制造的高新技术企业,是国内技术领先的晶体硅生长设备供应商。该公司专注于拥有自主品牌的晶体硅生长设备及其控制系统的研发、制造和销售,先后开发出拥有完全自主知识产权的直拉式全自动晶体生长炉、铸锭多晶炉产品。

该公司立足于“提高光电转化效率、降低发电成本”的光伏技术路线,实现了硅晶体生长“全自动、高性能、高效率、低能耗”国内领先、国际先进的技术优势。全自动单晶炉系列产品和 JSH800 型气致冷多晶炉产品分别被四部委评为国家重点新产品。同时公司积极向光伏产业链装备进行延伸,2015 年成功开发并销售了新一代单晶棒切磨复合一体机、单晶硅棒截断机、多晶硅块研磨一体机、多晶硅块截断机等多种智能化装备,并布局高效光伏电池装备和组件装备的研发。

该公司的晶体生长设备特别是单晶硅生长炉销售形势较好,主要是单晶光伏的技术路线获得认可,随着下游厂商的扩产,单晶的渗透率也逐步提升,带来对单晶硅生长炉的需求增加,该类产品收入已经占营业收入的 81%。

该公司主营业务伴随国内光伏产业的上升发展,给主营业务收入和利润带来显着增长,近两年的增长率均在 80%以上,另外,其毛利率水平和净利率水平也基本维持稳定。

上海微电子

上海微电子装备有限公司成立于2002年,主要致力于大规模工业生产的投影光刻机研发、生产、销售与服务,该公司产品可广泛应用于IC制造与先进封装、MEMS、TSV/3D、TFT-OLED等制造领域。

   该公司主要产品包括:

600扫描光刻机系列—前道IC制造

基于先进的扫描光刻机平台技术,提供覆盖前道IC制造90nm节点以上大规模生产所需,包含90nm、130nm和280nm等不同分辨率节点要求的ArF、KrF及i-line步进扫描投影光刻机。该系列光刻机可兼容200mm和300mm硅片。

500步进光刻机系列—后道IC、MEMS制造

基于先进的步进光刻机平台技术,提供覆盖后道IC封装、MEMS/NEMS制造的步进投影光刻机。该系列光刻机采用高功率汞灯的ghi线作为曝光光源,其先进的逐场调焦调平技术对薄胶和厚胶工艺,以及TSV-3D结构等具有良好的自动适应性,并通过采用具有专利的图像智能识别技术,无需专门设计特殊对准标记。该系列设备具有高分辨率、高套刻精度和高生产率等一系列优点,可满足用户对设备高性能、高可靠性、低使用成本(COO)的生产需求。

200光刻机系列—AM-OLED显示屏制造

200系列投影光刻机综合采用先进的步进光刻机平台技术和扫描光刻机平台技术,专用于新一代AM-OLED显示屏的TFT电路制造。该系列光刻机不仅可用于基板尺寸为200mm × 200mm的工艺研发线,也可用于基板尺寸为G2.5(370mm × 470mm)和G4.5(730mm × 920mm)的AM-OLED显示屏量产线。

硅片边缘曝光机系列——芯片级封装工艺应用

SMEE开发的硅片边缘曝光机提供了满足芯片级封装工艺中对硅片边缘进行去胶处理的能力,设备可按照客户要求配置边缘曝光宽度、硅片物料接口形式、曝光工位等不同形式。设备同时兼容150mm、200mm和300mm等三种不同规格的硅片,边缘曝光精度可到达0.1mm。设备配置了高功率光源,具有较高的硅片面照度,提高了设备产率。

至纯 科技

至纯 科技 成立于 2000 年, 主要为电子、生物医药及食品饮料等行业的先进制造业企业提供高纯工艺系统的整体解决方案, 产品为高纯工艺设备和以设备组成的高纯工艺系统,覆盖设计、加工制造、安装以及配套工程、检测、厂务托管、标定和维护保养等增值服务。

该公司在 2016年前产品约一半收入来自医药类行业,光伏、 LED 行业及半导体行业收入占比较小。 2016年以来,公司抓住半导体产业的发展机遇,逐步扩大其产品在半导体领域的销售占比, 2016和 2017 年来自半导体领域收入占公司营业收入比重分别为 50%和 57%,占据公司营业收入半壁江山。主攻半导体清洗设备。

该公司于 2015 年开始启动湿法工艺装备研发, 2016 年成立院士工作站, 2017 年成立独立的半导体湿法事业部至微半导体,目前已经形成了 UltronB200 和 Ultron B300 的槽式湿法清洗设备和 Ultron S200 和 Ultron S300 的单片式湿法清洗设备产品系列, 并取得 6 台的批量订单。

   精测电子

武汉精测电子技术股份有限公司创立于 2006 年 4 月,并于 2016 年 11 月在创业板上市。公司主要从事平板显示检测系统的研发、生产与销售,在国内平板显示测试领域处于绝对领先地位, 主营产品包括:模组检测系统、面板检测系统、OLED 检测系统、AOI光学检测系统和平板显示自动化设备。近几年来,该公司积极对外投资,设立多家子公司,业务规模迅速扩张,进一步完善了产业布局。

该公司成立初期主要专注于基于电讯技术的信号检测,是国内较早开发出适用于液晶模组生产线的 3D 检测、基于 DP 接口的液晶模组生产线的检测和液晶模组生产线的 Wi-Fi 全无线检测产品的企业,目前该公司的 Module 制程检测系统的产品技术已处于行业领先水平。

2014 年,精测电子积极研发 AOI 光学检测系统和平板显示自动化设备,引进了宏濑光电和台湾光达关于 AOI 光学检测系统和平板显示自动化设备相关的专利等知识产权,使其在 Array制程和 Cell 制程的检测形成自有技术,初步形成了“光、机、电”技术一体化的优势。

精测电子2018年上半年财务报告显示,该公司收入主要来自 AOI 光学检测系统业务,占比 45.49%,毛利占比 41.94%;其次是模组检测系统业务,收入占比 23.33%,毛利占比 27.68%; OLED 检测系统和平面显示自动化设备收入占比分别为 14.29%和12.30%,毛利占比为 14.26%和 10.28%。

   电子 科技 集团45所

中国电子 科技 集团公司第45研究所创立于1958年,2010年9月,中央机构编制委员会办公室批准45所第一名称更改为“北京半导体专用设备研究所”,第二名称仍保持“中国电子 科技 集团公司第四十五研究所”不变。

45所是国内专门从事军工电子元器件关键工艺设备技术、设备整机系统以及设备应用工艺研究开发和生产制造的国家重点军工科研生产单位。

45所以光学细微加工和精密机械与系统自动化为专业方向,以机器视觉技术、运动控制技术、精密运动工作台与物料传输系统技术、精密零部件设计优化与高效制造技术、设备应用工艺研究与物化技术、整机系统集成技术等六大共性关键技术为支撑,围绕集成电路制造设备、半导体照明器件制造设备、光伏电池制造设备、光电组件制造和系统集成与服务等五个重点技术领域,开发出了电子材料加工设备、芯片制造设备、光/声/电检测设备、化学处理设备、先进封装设备、电子图形印刷设备、晶体元器件和光伏电池等八大类工艺设备和产品,服务于集成电路、光电元器件与组件、半导体照明和太阳能光伏电池四大行业.

   上海睿励

睿励科学仪器(上海)有限公司是于2005年创建的合资公司,致力于研发、生产和销售具有自主知识产权的集成电路生产制造工艺装备产业中的工艺检测设备。主要生产用于65/28/14nm制程工艺控制的膜厚测量设备。

沈阳芯源

沈阳芯源微电子设备有限公司成立于2002年,由中科院沈阳自动化研究所引进国外先进技术投资创建。

芯源公司自主开发的单片匀胶机、显影机、喷胶机、去胶机、清洗机、湿法刻蚀机等设备广泛应用于半导体、先进封装、MEMS、LED等领域。

1.LED领域匀胶显影机:应用于LED芯片制造、PSS(图形化衬底)、MEMS、HCPV(高聚光型太阳能电池)、Waveguide(光波导)工艺的匀胶显影等工艺制程。

2.高端封装全自动涂胶显影机:广泛应用于先进封装BGA、Flip-Chip、WSP、CSP制程的高黏度PR、PI、Epoxy的涂敷、显影工艺制程。

3.高端封装全自动喷雾式涂胶机: 广泛应用于TSV、MEMS、WLP等工艺制程。

4.单片湿法刻蚀机/去胶机/清洗机:广泛应用于先进封装BGA、Flip-Chip、WSP、CSP制程的刻蚀、去胶、清洗工艺制程。

5.前道堆叠式全自动涂胶显影机:应用于90nm光刻工艺、BARC涂覆、SOC、SOD、SOG等工艺制程。

   盛美半导体

盛美半导体(ACM Research)是国内半导体清洗设备主要供应商,于1998年在美国硅谷成立,主要研发电抛光技术,2006 年成立上海子公司,专注于半导体清洗设备。2017年11月4日公司在美国纳斯达克上市。2017年公司营业收入3650万美元,同比增长33.2%,其中90%以上的营业收入来自于半导体清洗设备。2017 年研发投入占营业收入比例为14.1%。

由于声波清洗可能会造成晶片损伤,行业公司大多转向研发其他技术,盛美半导体另辟蹊径研发出空间交变相移兆声波清洗(SAPS)和时序能激气泡震荡兆声波清洗(TEBO)两项专利技术,可以实现无伤清洗。公司的清洗设备目前已经进入 SK 海力士、长江存储和上海华力等先进产线。

   天津华海清科

天津华海清科机电 科技 有限公司成立于2013年,是天津市政府与清华大学践行“京津冀一体化”国家战略,为推动我国化学机械抛光(CMP)技术和设备产业化成立的高 科技 企业。

华海清科主要从事CMP设备和工艺及配套耗材的研发、生产、销售与服务,核心团队成员来自清华大学摩擦学国家重点实验室及业内专业人才,产品可广泛应用于极大规模集成电路制造、封装、微机电系统制造、晶圆平坦化、基片制造等领域。

中电科装备

中电科电子装备集团有限公司成立于2013年,是在中国电子 科技 集团公司2所、45所、48所基础上组建成立的二级成员单位,属中国电子 科技 集团公司独资公司,注册资金21亿元,该公司是我国以集成电路制造装备、新型平板显示装备、光伏新能源装备以及太阳能光伏产业为主的科研生产骨干单位,具备集成电路局部成套和系统集成能力以及光伏太阳能产业链整线交钥匙能力。

多年来,利用自身雄厚的科研技术和人才优势,形成了以光刻机、平坦化装备(CMP)、离子注入机、电化学沉积设备(ECD)等为代表的微电子工艺设备研究开发与生产制造体系,涵盖材料加工、芯片制造、先进封装和测试检测等多个领域;通过了ISO9001、GJB9001A、UL、CE、TüV、NRE等质量管理体系与国际认证。

   沈阳拓荆

沈阳拓荆 科技 有限公司成立于2010年4月,是由海外专家团队和中科院所属企业共同发起成立的国家高新技术企业。拓荆公司致力于研究和生产薄膜设备,两次承担国家 科技 重大专项。2016年、2017年连续两年获评“中国半导体设备五强企业”。

该公司拥有12英寸PECVD(等离子体化学气相沉积设备)、ALD(原子层薄膜沉积设备)、3D NAND PECVD(三维结构闪存专用PECVD设备)三个完整系列产品,技术指标达到国际先进水平。产品广泛应用于集成电路前道和后道、TSV封装、光波导、LED、3D-NAND闪存、OLED显示等高端技术领域。

   华海清科

天津华海清科机电 科技 有限公司成立于2013年,是天津市政府与清华大学践行“京津冀一体化”国家战略,为推动我国化学机械抛光(CMP)技术和设备产业化成立的高 科技 企业。

华海清科主要从事CMP设备和工艺及配套耗材的研发、生产、销售与服务,核心团队成员来自清华大学摩擦学国家重点实验室及业内专业人才,产品可广泛应用于极大规模集成电路制造、封装、微机电系统制造、晶圆平坦化、基片制造等领域。

以上就是我国大陆地区的主要半导体设备生产企业。

随着我国半导体产业的快速发展,对半导体设备的需求量越来越大,而本土半导体设备企业面临着供给与需求错配的情况。一方面,国内的半导体设备需求随着下游产线的扩张而迅速增加,大陆的半导体设备需求占全球半导体设备需求的比重较高;但另一方面,本土的设备供给存在着水平较为落后,国产化率不高的情况。

针对这一情形,在国家的大力支持下,国内设备企业需要积极布局,以在各细分设备领域实现突破。

通富微电:公司主要从事集成电路 的封装测试业务,在中高端封装技术方面占有领先优势,是国内目前唯一实现高端封装测试技术MCM、MEMS量化生产的封装测试厂家。2006年产能达到35亿只,在内地本土集成电路封装测试厂中排名第一。公司是Micronas、FreesCAle、ToshiBA等境外知名半导体企业的合格分包方,其中全球前10大跨国半导体企业已有5家是公司长期稳定的客户。同时公司注重开发国内市场。

长电科技:公司是中国半导体第一大封装生产基地,国内著名的晶体管和集成电路制造商,产品质量处于国内领先水平。公司拥有目前体积最小可容纳引脚最多的全球顶尖封装科技,在同行业中技术优势十分突出。

华微电子:公司是我国最大的功率半导体专业生产企业,产品应用于家电 、绿色照明 、计算机和通讯、汽车电子四大领域,产品性能达到国际先进水平,有些产品的性能甚至超过了国际水平。

康强电子:主要从事半导体封装用引线框架和键合金丝的生产,属于科技创新 型企业,国内最大的塑封引线框架生产基地,年产量达160亿只,已成为我国专业生产半导体集成电路引线框架、键合金丝的龙头企业。

华天科技:公司主要从事集成电路的封装与测试业务,近年来产品结构不断优化,原来以中低端封装形式为主的收入结构得到改善,中端产品在收入中的占比不断提升,综合毛利率有所提高。公司开发生产LQFP、TSSOP、QFN、BGA、MCM等高端封装形式产品,以适应电子产品多功能、小型化、便携性的发展趋势要求,紧抓集成电路封装业面临产业升级带来的发展机遇,高端封装产业化项目逐步实施将提升公司发展后劲。

大恒科技:大恒图像的两个主要产品工业在线视觉检测设备和智能交通 用摄像头有望持续高成长 。中科大洋为数字电视 编播系统行业龙头。中科大洋依托中科院 ,技术优势明显。市场占有率超过50%左右。

有研新材:公司是我国最大的具有国际水平的半导体材料 研究、开发、生产基地,已形成具有自主知识产权的技术及产品品牌,在国内外市场具有较高的知名度和影响力。目前公司的8英寸、12英寸抛光片产品市场拓展仍在推进中,大直径单晶产品供应上已具备深加工能力,此外2009年公司节能灯用双磨片产品供应量快速增加,区熔产品生产供应有一定进展,这两项产品都有望成为公司新的利润增长点。

士兰微:公司是一家专业从事集成电路以及半导体微电子相关产品的设计、生产与销售的高新技术企业。公司主要产品是集成电路以及相关的应用系统和方案,主要集中在以下三个领域:以消费类 数字音视频应用领域为目标的集成电路产品,包括以光盘伺服为基础的芯片和系统。

上海贝岭:公司是我国集成电路行业的龙头,公司投入巨资建成8英寸集成电路生产线,还联手大股东华虹集团成立了上海集成电路研发中心,上海华虹NEC是世界一流水平的集成电路制造企业,技术实力雄厚,公司参股华虹NEC后更加突出了在集成电路方面的实力,有利于提升企业的核心竞争力。华大半导体在2015年5月成为该公司的控股股东,并表示公司将作为中国电子集成电路的统一运营平台,加快推进产业整合,实现资源的集中和业务的系统发展。

七星电子:公司是半导体设备龙头企业,长期受益政策扶持和国内市场需求。在国内市场需求和政府大力扶持的有利条件下,集成电路和平板显示产业重心正在向大陆转移,为设备类企业提供良好的发展机遇。开发的先进设备打破国外厂商的长期垄断,有望逐步替代国外设备,成为国内市场的重要供应商。

三安光电:公司公告以自有货币资金在厦门火炬高新区 火炬园成立一家全资子公司。主要从事危险化学品批发、电子元件 及组件、半导体分立器件、集成电路、光电子器件及其他电子器件等制造与销售;注册资金1亿元人民币。

中国半导体封装测试工厂

上海华旭微电子有限公司

上海芯哲微电子科技有限公司

沈阳中光电子有限公司

超威半导体公司

葵和精密(上海)

新义半导体

快捷半导体

安靠封测(上海)

东莞乐依文半导体有限公司

日月光(威海)

日月光(上海)威宇半导体

日月芯

嘉盛半导体

罗姆电子(天津)有限公司

长风

尼西

成都亚光电子股份有限公司

宏茂微电子

上海斯伦贝谢智能卡技术有限公司

飞思卡尔半导体

晶诚(郑州)科技有限公司

银河微电子

捷敏电子

捷敏电子(合肥)

通用半导体

通用半导体(西安爱尔)

超丰

勤益电子(上海)

广州半导体器件

桂林斯壮半导体

无锡华润华晶微电子

合肥合晶

华越芯装电子

苏州奇梦达公司

英飞凌科技(无锡)有限公司

江苏长电科技股份有限公司

吉林市华星电子有限公司

凯虹电子

开益禧半导体

京隆科技

震坤

乐山菲尼克斯(ON Semi)

菱生

骊山微电子

绍兴力响微电子

绍兴力响微电子有限公司

美光半导体

巨丰电子

上海纪元微科

美国芯源系统

南方电子

南通富士通微电子股份有限公司

美国国家半导体有限公司

华微

凤凰半导体

飞利浦

清溪三清半导体

瑞萨半导体

威讯联合

三星电子(半导体)

晟碟半导体

三洋半导体

三洋

上海旭福电子

永华电子

汕头华汕电子

深爱半导体

矽格电子

中芯国际

中芯国际

中芯国际

中芯国际

飞索半导体

深圳赛意法电子

天水华天微电子

东芝半导体

芯宇

优特半导体(上海)

新康电子/威旭

晶方半导体科技(苏州)有限公司

无锡华润安盛科技有限公司

无锡红光微电子

厦门华联电子有限公司

扬州晶来半导体有限公司

矽德半导体

扬州市邗江九星电子有限公司

广东粤晶高科

中星华电子

瑞特克斯(成都)电子

潮州市创佳微电子有限公司

恒诺微电子(嘉兴)有限公司

恒诺微电子上海

英特尔产品成都

英特尔产品上海

上海松下半导体

苏州松下半导体

矽品

日立半导体(苏州)有限公司

江门市华凯科技有限公司

江阴长电先进封装有限公司

阳信长威电子有限公司长威电子

星科金朋

浙江金凯微电子

长沙韶光微电子

深圳世纪晶源科技有限公司


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/7204257.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-02
下一篇 2023-04-02

发表评论

登录后才能评论

评论列表(0条)

保存