半导体国产替代空间巨大,如何挖掘机会?

半导体国产替代空间巨大,如何挖掘机会?,第1张

众所周知,这两年因为中兴、华为事件,所以国产半导体国产替代被大家喊得越来越凶。当然事实也确实是如此,毕竟半导体是目前 科技 领域最不可或缺的高 科技 ,一旦被人卡脖子,只有掌握在自己手中,才能不被人卡脖子,那么国产替代的机会在哪里?可以从两个方面来看

一、从技术难度低的先发展起,比如封测

我们知道半导体尤其是大家常说的芯片,有三个主要流程,分别是封测、制造、设计。其中封测的门槛最低,国内也表现较好。

所以我认为国产替代,首先是从封测开始,因为门槛低,同时封测属于相对来讲劳动密集型的,这样国内是有优势的,另外大陆也有封测三强,台湾日月光更是全球第一封测企业。

二、再发展制造业,这个是基础

而在封测之后,再要发展制造业,毕竟制造业都是基础,目前国内技术最落后的,其实说起来还是制造,像封测、设计领域其实较之国际水平,并不差,但制造就差多了。

以台积电为例,目前已经进入7nm,今年会是5nm,但中芯国际才14nm,离5nm至少3-5年吧,并且台积电还在进步,3-5年之后,中芯国际肯定追不上,只能达到台积电现在的水平。

而设计领域是目前国内企业最多的,毕竟设计门槛说高不高,说低不低,在使用ARM、RISC-V等构架之后,设计门槛低多了,再加上投入也少,直接设计出来交给代工企业来生产,这就行了。

所以总体来看,半导体国产替代其实各个环节都是机会,毕竟目前在所有领域都是较为落后的,甚至上下游的材料,设备方面都落后,所有的企业在任何领域,有扎实的基础,都会迎来大发展的。

半导体行业在2019年日子并不好过,整个行业的公司业绩都不太好,严重依赖半导体行业的韩国人均GDP还出现了下降,半导体需求低迷,各类芯片出货量大幅下降,产业链的各个环节都受到了明显的影响。

但随幐5G时代的加速到来,将成为半导体行业拐点的催化剂,5G网络需要全产业链的支撑,包括5G基站、高速PCB以及相关的元器件,5G建设期大概三至五年,会首先带来相关行业的需求复苏。而由5G带来的技术升级,对相关新应用的的驱动,将会带来半导体行业的拐点。

未来几年,半导体行业将会重新进来景气周期,在这个过程中,整个产业链都有望获得复苏,从而带来预期差修复机会,对各细分行业的龙头公司来说,更有可能分享到行业增长的红利,从而带来业绩驱动和估值提升的双重利多预期。

挖掘半导体行业的机会,主要从这几个角度来思考:

第一,是芯片设计行业,芯片设计行业属于芯片产业链中的高附加值部分,包括高通、华为海思、三星、ARM、英特尔等公司,主要就是抢占了芯片设计制高点,通过积累的技术专利,最大化获得行业红利。在A股上市公司中,也有部分芯片设计公司,虽然不能与国际 科技 巨头抗衡,但在各自的细分领域具有明显的垄断优势。

第二,是国产化替代,虽然国内有些半导体公司,实力和美国先进公司尚有一定差距,但从过去几年的情况来看,未来半导体行业将会全面推行国产化替代,只有这样,才不会被西方国家掐脖子,这为很多具有竞争力的国内芯片公司提供了非常好的市场机会,比如在视频芯片方面,国产SOC芯片公司可以很好的替代美国英伟达的芯片。

第三,需补短板的环节,在半导体行业,我们目前在芯片设计方面已经有了海思等实力强大的公司,但在生产环节则不具优势,目前最具竞争力的是中芯国际,已经可以量产14nm制程的芯片,但更高技术的晶圆代工则需要借助于荷兰ASML的光刻机。未来我国将会投入更多技术和资金进行扶持,所以在光刻机方面有研发的公司,有预期支撑。

第四,优势环节公司,虽然在半导体产业链中,我国目前依然有些环节需继续追赶,但也有优势环节。比如说半导体封装行业,我国上市公司中有几家公司都在全球十大封测之列,虽然封测行业的毛利率和净利率都不高,但它是半导体最终成品的必须环节,这些公司具有很高的市场份额,一旦行业复苏,会明显受益。

从这四个方面入手,寻找行业中盈利能力最强、市占率最高、且一直在持续对开发进行投入的公司,这些公司将会进一步巩固自身在行业中的地位,在新一轮半导体行业上升周期中,业绩将会出现明显的改善,会获得半导体行业复苏和全面国产化带来的机会。

去年美国抵制华为事件,以及后来中美贸易战,国内集成电路产业“缺芯少魂”现状日益明显!其实早在2014年6月,国务院印发《国家集成电路产业发展推进纲要》,部署充分发挥国内市场优势,营造良好发展环境,激发企业活力和创造力,带动产业链协同可持续发展,加快追赶和超越的步伐,努力实现集成电路产业跨越式发展。随着近几年政策支持力度加大,集成电路,以及国产芯片发也势如破竹!今年1月中旬,中芯国际14nm生产线正式投产,提前一年实现量产,12nm亦开始客户导入。据中芯国际官网报道,中芯南方集成电路制造有限公司已于2019年第三季度成功量产第一代14纳米FinFET工艺,这是国内第一条14nm工艺生产线,成为中国内地最先进的集成电路生产基地。据悉,中芯国际从2015年开始研发14nm,目前良品率已经达到95%,意味着提前一年《国家集成电路产业发展推进纲要》完成了重要发展目标。

现状:中国连续多年成为全球最大的集成电路市场,占全球市场的需求比例逐年增加,2014年超过了全球市场的一半,2017年达到了全球市场的56.2%。集成电路是中国最大的单一进口商品,从2013年起连续第六年超过2000亿美元,2018年更是突破3000亿美元,是价值最高的进口商品。不仅如此,世界排名前20的集成电路企业中,三分之一的企业超50%的业绩来自中国,三分之二的企业30%的业绩来自中国,因此中国对全球大多数集成电路企业来说也是无可替代的重要市场,可见半导体国产替代空间巨大!

下面一张是国信证券半导体产业图可供挖掘:

在半导体行业当中,目前我们最有可能成长为国际主流的就是半导体封测行业,因为它最接近制造业的特征,需要大规模投资和大量的设备,土地,资金,我国在这些方面最有优势。在技术方面,半导体封测的技术发展不如半导体设计行业那么快,设备可以快速采购和研发升级,容易在庞大的国内市场需求下扩大生产规模和市场占有率,所以,聚焦我国已经具有庞大生产基础和市场基础的半导体封测行业,可能该行业能够最快速的产生类似台积电一样的世界一流企业,中芯国际,长电国际是目前的市场龙头企业,也最有发展潜力!

我国封测业未来展望,高级封测终将成为主流

近几年的海外并购让中国封测企业快速崛起,获得了技术、市场并弥补了一些结构性的缺陷。但是封测行业马太效应明显,海外优质并购标的显著减少,未来通过并购取得先进封装技术与市占率可能性很小,自主研发+技术升级将会成为主流。 我国封测行业未来发展方向应该由“量的增长”向“质的突破”转化。

量的增长:传统封装行业的特点是重人力成本、轻资本与技术。 半导体产业链三个环节中,设计对技术积累与人才要求最高;制造对资本投入要求高;封装产业对资本与人才要求相对较低,而对人工成本在三个环节中最 敏感。最终体现为设计和制造的附加值最高,封测的利润附加值最低。我国大陆 2018 年设计和制造合计占半导体销售额的 66%,封测占比 34%。台湾企业在全球封测市场占有率最高,但是 2018 年封测行业营收占台湾半导体市场总营收只有 19%,更多是利润来自于制造和设计。封装行业对人力成本最敏感,大陆封测行业上市公司 2018 年每百万营收需要职工数为 2.06 人,头部四家封测公司(长电、华天、通富、晶方)平均为 1.59 人,同期 IC 设计行业和制造行业(中芯、华宏)分别为 0.75 和 0.74 人。

后摩尔时代,在物理尺寸即将走到极限、制程技术不能带来有效的成本降低时,半导体硬件上的突破将会更加依赖先进封装技术。 因为先进封装更加灵活,不局限于晶体管尺寸的缩小,而是可以灵活的的结合现有封装技术降低成本;研发投入和设备投入也没有半导体制造资本支出高,这将成为延续摩尔定律的关键。

“质的突破”:传统封测由于技术壁垒低、同业竞争激烈,利润提高空间非常小,未来我国封测行业应该向利润附加值更高的高级封测转化,资本支出将取代人力成本作为新的行业推动力 。下一个半导体发展周期将依靠 AI、5G、IOT、智能 汽车 等新兴应用,这些新兴应用都对电子硬件有着共同的要求:高性能、高集成、高速度、低功耗、低成本。先进封装技术是解决各种性能需求和复杂异构集成需求等硬件方面的完美选择。

由于先进封装涉及中道晶圆制造所用技术与设备,利润附加值增长的同时资本和技术的投入也是远高于传统封测,先进封装资本支出类似于“晶圆制造”。先进封装涉及到晶圆研磨薄化、重布线、凸点制作(Bumping)及 3D-TSV 等制程,在制程中需要用到刻蚀、沉积等前道设备,这必然意味着大规模的资本支出,同时也意味着半导体中下游产业链业务分界模糊,相互渗透和拓展。例如 TSMC 推出的 InFO 集成扇出型高级封装和 CoWoS 晶圆基底芯片封装技术提供了一种除了 IC 设计业务外承包整个 IC 制造的商业模式,成功让 TSMC 拿到了 3 代苹果公司的订单;Intel 与 AMD 也已经推出嵌入式多芯片互连桥接(Embedded Multi-chip Interconnection Bridge, EMIB)技术,并成功运用在商业量产上,也就是英特尔的第八代 Core G 系列处理器。台积电 2016 年仅InFO 资本投入达 9.5 亿美元,而日月光 2016 年资本支出预计仅约 8 亿美元。与传统封装不同,先进封装资本支出才是核心驱动力。

A股核心标的介绍

(一)长电 科技 :封测龙头,管理层优化及大客户转单驱动公司成长

长电 科技 作为全球 IC 封测环节中的第一梯队企业,其分立器件以及集成电路封装测试业务已经涵盖全球主要半导体客户,且在先进封装方面亦不断向国际先进水平靠拢。2019 年,公司大刀阔斧的进行管理层优化整合,由经验丰富的中芯国际团队负责公司的产能优化和业务整合。2019 年 9 月郑力先生接任公司 CEO 及董事职务,郑力先生之前是恩智浦全球高级副总裁兼大中华区总裁,并承担多个高级管理职务,凭借其在集成电路领域近 30 年的经验,将带领长电 科技 迈向新的台阶。

此外,2019 年以来,受中美贸易摩擦影响,华为海思相关订单呈现加速转向中国大陆趋势。而长电 科技 作为本土规模最大,技术路线最丰富的半导体封测企业,毫无疑问将会是这一轮华为转单的最大收益者。

(二)华天 科技 :CIS+存储+射频,多维布局抢占先机

华天 科技 作为是一家本土前三、世界前十的半导体封装公司,主营业务覆盖全面,从传统封测到先进封测等多个系列。华天 科技 近几年一直稳健扩张,财务结构良好,毛利率一直维持稳定。随着 2019 年三季度以来行业整体回暖,订单逐月增加,各厂产能利用率逐步提升。

 天水厂以中低端传统封装为主,包括引线框架、部分 BGA、MCM 和 FC 业务,2019Q2产能利用率回升至 90%,盈利稳定。

 西安厂主要以 QFN 和 BGA 等中端封测技术为主,Q1 产能利用率在 70%左右, 2019Q2满产。

 昆山厂主要业务是包括 WLP、Bumping、MEMS 和 TSV 等 2.5D-3D 高端封测技术,,,当前手机前置镜头 CIS 和安防镜头 CIS 封装订单饱满。随着全球市场恢复,国内市场在华为订单转移加持下恢复速度加快,高级封测需求量有望大幅度提升。

此外,南京新厂的产能扩充和海外先进封测业务拓展将会是华天 科技 最值得期待盈利增长点。公司南京基地主要部署存储器、MEMS、人工智能等高级封测产线,已于 2019年年初开工建设,预计 2020 年投产。海外并购公司 Unisem 拥有完整的 Bumping、SiP、FC、MEMS 等先进封装技术,公司财务状况良好,现阶段整合顺利。Unisem 主要客户包括 Broadcom、Qorvo、Skyworks 等公司,有望显著受益 5G 射频的芯片封装。

从华天 科技 各大业务布局来看:稳健扎实的传统封装是公司业绩的核心压舱石,而近年来积极部署的先进封装也正随着 CIS、存储和 5G 射频的景气高涨而开花结果,公司业绩正加速向前。

(三)通富微电:各大基地协同发力,AMD 合作渐入佳境

经过多年内生成长+外延并购的发展战略,公司现已具备六处生产基地,其产能规模及营收体量均跃居全球半导体封测行业前列,下游应用遍及手机终端、存储芯片、 汽车 电子、CPU、GPU 等众多领域。2018 年公司营收增长 10.79%,营收增速在全球前十大封测公司中排名第二,营收规模由 2017 年的全球第七上升至全球第六,行业地位进一步提升。

2019 年上半年,通富超威苏州、通富超威槟城实现逆势增长 32.16%的亮丽成绩;与此同时,通富超威苏州成为第一个为 AMD7 纳米全系列产品提供封测服务的工厂,第二季度末7纳米产品出货总量超出AMD预期8%,标志着苏州槟城两厂被纳入通富麾下之后,其业务能力日益精进。8 月 8 日,AMD 推出了全球首款 7 纳米芯片,谷歌与推特也宣布未来将会在数据中心的 CPU 部分采用 AMD 核心处理器的产品。通富超威苏州、槟城作为给 AMD 7nm 产品提供封测服务的两大基地,有望显著受益于 AMD 未来的营收增长。

(四)晶方 科技 :CIS 持续景气,多年深耕终结硕果

晶方 科技 是国内 WLP 先进封测技术的领军企业之一,主要专注于传感器领域的先进封测业务。产品应用于消费电子、安防、生物识别、 汽车 电子等诸多领域。目前公司是全球第二大能提供影像传感芯片晶圆级尺寸封装业务的服务商。2019 年 1 月,公司收购海外公司 Anteryon,其完整的晶圆级光学组件制造量产能力和技术与公司现有的WLCSP 封测形成良好的协同作用。

受“平安城市,天网工程,雪亮工程”驱动,我国视频监控市场增长率 15%左右,2020年有望达到 1683 亿。公司高阶 CMOS 封装产品有望持续受益于日渐增长的视频监控需求。此外 汽车 领域,ADAS 系统镜头数目的巨大需求量也是推动公司封测产片出货量增长的主要动力。据 HIS 数据,随着 ADAS 渗透率提升,2020 年全球 汽车 摄像头将达到8300 万枚,复合增速 20%。预计 汽车 电子、医疗 健康 、安防等其他应用将是未来 5 年市场成长新动能,作为主要下游封测厂商,晶方 科技 将优先受益。传感器封测市场中摄像头、指纹识别与 3D 传感仍占较大份额。目前,手机摄像头、指纹识别与 3D 传感渗透率增高,都加速图像传感器的发展,CIS 芯片封装需求快速增长将会是公司未来值得期待的看点。

受景气度高涨影响,公司当前产能呈现供不应求的状态。2019 年 12 月,晶方 科技 发布定增预案,拟募集资金不超过 14 亿,用于集成电路 12 英寸 TSV 及异质集 成智能传感器模块项目,项目建成后将形成年产 18 万片的生产能力;达产后预计年增 1.6 亿净利润。随着募投项目落地,公司业绩将被显著增厚。

(五)长川 科技 :显著受益于景气周期中封测环节 Capax 提升

长川 科技 作为一家专业的半导体设备公司,公司主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备,集成电路测试设备主要包括测试机、分选机、探针台、自动化生产线等,目前本公司主要产品包括测试机、分选机及自动化生产线。随着本轮半导体景气周期见底回升,以台积电为首的晶圆厂相济调高资本支出,大幅扩产以应对强劲的市场需求,按照半导体产业链的传导规律,晶圆厂的产能扩张也势必蔓延至中下游封装厂商。此外,在全球半导体产业向国内转移的过程中,对中国大陆来说,无论是晶圆厂还是封装厂都景气周期都将是强于全球行业周期。 与此同时我们也看到,随着长电/华天/通富/晶方的产能满载,其扩产意愿愈加迫切,故而我们认为长川 科技 作为国内领先的半导体封装测试设备供应商,将有望显著受益于此一轮半导体行业景气周期+国产化趋势。

投资建议

自 2019 年下半年以来,全球范围内新一轮半导体景气已基本确立并拉开帷幕。对于大陆 IC 从业者来说,华为转单与产业转移的逻辑将进一步强化本轮景气周期并使其在中国大陆的演绎更加淋漓尽致。封测环节作为本土半导体产业链中最为成熟的领域,其订单承接能力更具确定性。标的方面,我们看好封测环节的长电 科技 、晶方 科技 、通富微电、华天 科技 ,以及封测设备厂商长川 科技 。

半导体国产替代空间巨大,如何挖掘机会?

目前,中国

长电 科技 是全球领先的集成电路制造和技术服务提供商,提供全方位的芯片成品制造一站式服务,包括集成电路的系统集成、设计仿真、技术开发、产品认证、晶圆中测、晶圆级中道封装测试、系统级封装测试、芯片成品测试并可向世界各地的半导体客户提供直运服务。

通过高集成度的晶圆级(WLP)、2.5D/3D、系统级(SiP)封装技术和高性能的倒装芯片和引线互联封装技术,长电 科技 的产品、服务和技术涵盖了主流集成电路系统应用,包括网络通讯、移动终端、高性能计算、车载电子、大数据存储、人工智能与物联网、工业智造等领域。长电 科技 在全球拥有23000多名员工,在中国、韩国和新加坡设有六大生产基地和两大研发中心,在逾22个国家和地区设有业务机构,可与全球客户进行紧密的技术合作并提供高效的产业链支持。

随着市场对便携式移动数据访问设备的需求快速增长,市场对功能融合和封装复杂性的要求也在提升。同时对更高集成度,更好电气性能、更低时延,以及更短垂直互连的要求,正在迫使封装技术从 2D 封装向更先进的 2.5D 和 3D 封装设计转变。为了满足这些需求,各种类型的堆叠集成技术被用于将多个具有不同功能的芯片集中到越来越小的尺寸中。

长电 科技 积极推动传统封装技术的突破,率先在晶圆级封装、倒装芯片互连、硅通孔(TSV)等领域中采用多种创新集成技术,以开发差异化的解决方案,帮助客户在其服务的市场中取得成功。

3D 集成技术正在三个领域向前推进:封装级集成、晶圆级集成和硅级集成。

• 封装级集成

利用常规的焊线或倒装芯片工艺进行堆叠和互连,以构建传统的堆叠芯片和堆叠封装结构,包括:

堆叠芯片 (SD) 封装 ,通常在一个标准封装中使用焊线和倒装芯片连接,对裸片进行堆叠和互连。配置包括 FBGA-SD、FLGA-SD、PBGA-SD、QFP-SD 和 TSOP-SD。

层叠封装(PoP) ,通常对经过全面测试的存储器和逻辑封装进行堆叠,消除已知合格芯片 (KGD) 问题,并提供了组合 IC 技术方面的灵活度。倒装芯片 PoP 选项包括裸片 PoP、模塑激光 PoP 和裸片模塑激光 PoP 配置 (PoP-MLP-ED)。

封装内封装 (PiP) ,封装内封装 (PiP) 通常将已封装芯片和裸片堆叠到一个 JEDEC 标准 FBGA 中。经过预先测试的内部堆叠模块 (ISM) 接点栅格阵列 (LGA) 和 BGA 或已知/已探测合格芯片 (KGD),通过线焊进行堆叠和互连,然后模塑形成一个与常规FBGA封装相似的 CSP。

3D 晶圆级集成 (WLP) 使用再分布层和凸块工艺来形成互连。晶圆级集成技术涵盖创新的扇入(FIWLP) 和扇出 (FOWLP) 选项,包括:

嵌入式晶圆级 BGA(eWLB) - 作为一种多功能的扇出型嵌入式晶圆级 BGA 平台,eWLB 灵活的重建制造工艺可以降低基板的复杂性和成本,同时在一系列可靠、低损耗的 2D、2.5D 和 3D 解决方案中实现高性能、小尺寸和非常密集的互连。长电 科技 的 3D eWLB-SiP 和 eWLB-PoP 解决方案包括多个嵌入式无源和有源元器件,提供面对背、面对面选项,以及单面、1.5 面、双面超薄 PoP 配置。对于需要全 3D 集成的应用,长电 科技 的面对面 eWLB PoP 配置通过 eWLB 模塑层,在应用处理器和存储器芯片之间提供直接的垂直互连,以实现高带宽、极细间距的结构,其性能不逊色于 TSV 技术。

包封 WLCSP (eWLCSP ) - 一种创新的 FIWLP 封装,采用扇出型工艺,也称为 FlexLine 方法,来构建这种创新、可靠的包封 WLCSP 封装。

WLCSP - 标准晶圆级 CSP 封装。随着各种工艺技术的发展,例如低固化温度聚合物、将铜材料用于凸块下金属化 (UBM) 和 RDL,我们可以实现更高的密度,提高 WLCSP 封装的可靠性。

在真正的 3D IC 设计中,目标是将一个芯片贴合在另一个芯片上,两者之间没有任何间隔(无中介层或基材)。目前,“接近 3D”的集成通常也称为 2.5D 集成,其实现方法是使用薄的无源中介层中的硅通孔 (TSV),在封装内部连接芯片。芯片之间的通信通过中介层上的电路进行。FOWLP 工艺还可以产生一种被称为2.5D eWLB的创新过渡技术,在这种技术中,使用薄膜扇出型结构来实现高密度互连。长电 科技 的硅级集成产品组合包括:

2.5D / 扩展 eWLB - 长电 科技 基于 eWLB 的中介层可在成熟的低损耗封装结构中实现高密度互连,提供更高效的散热和更快的处理速度。3D eWLB 互连(包括硅分割)是通过独特的面对面键合方式实现,无需成本更高的 TSV 互连,同时还能实现高带宽的 3D 集成。基于 eWLB 的中介层简化了材料供应链,降低了整体成本,为客户提供了一个强大的技术平台和路径,帮助客户将器件过渡到更先进的 2.5D 和 3D 封装。

MEOL集成的2.5D封装 - 作为首批在2.5D 封装领域拥有成熟 MEOL TSV 集成经验的 OSAT 之一,长电 科技 在这个新兴互连技术领域扮演着重要角色,专注于开发经济高效的高产量制造能力,让 TSV 成为具有商业可行性的解决方案。长电 科技 还与众多的客户、研究机构和领先代工厂开展协作,为集成式 3D 封装解决方案开发有效的商业模式。

2.5/3D集成技术圆片级与扇出封装技术系统级封装技术倒装封装技术焊线封装技术MEMS与传感器

长电 科技 为以下封装选项提供晶圆级技术:

• eWLB(嵌入式晶圆级球栅阵列)

• eWLCSP(包封晶圆级芯片尺寸封装)

• WLCSP(晶圆级芯片尺寸封装)

• IPD(集成无源器件)

• ECP(包封芯片封装)

• RFID(射频识别)

当今的消费者正在寻找性能强大的多功能电子设备,这些设备不仅要提供前所未有的性能和速度,还要具有小巧的体积和低廉的成本。这给半导体制造商带来了复杂的技术和制造挑战,他们试图寻找新的方法,在小体积、低成本的器件中提供更出色的性能和功能。长电 科技 在提供全方位的晶圆级技术解决方案平台方面处于行业领先地位,提供的解决方案包括扇入型晶圆级封装 (FIWLP)、扇出型晶圆级封装 (FOWLP)、集成无源器件 (IPD)、硅通孔 (TSV)、包封芯片封装 (ECP)、射频识别 (RFID)。

突破性的 FlexLineTM 制造方法

我们的创新晶圆级制造方法称为 FlexLineTM 方法,为客户提供了不受晶圆直径约束的自由,同时实现了传统制造流程无法实现的供应链简化和成本的显著降低。FlexLine 制造方法是不同于常规晶圆级制造的重大范式转变,它为扇入型和扇出型晶圆级封装提供了很高的灵活性和显著的成本节省。

FlexLine方法,为客户提供了不受晶圆直径约束的自由,同时实现了传统制造流程无法实现的供应链简化和成本的显著降低。

用于 2.5D 和 3D 集成的多功能技术平台

FlexLine方法,为客户提供了不受晶圆直径约束的自由,同时实现了传统制造流程无法实现的供应链简化和成本的显著降低。

半导体公司不断面临复杂的集成挑战,因为消费者希望他们的电子产品体积更小、速度更快、性能更高,并将更多功能集成到单部设备中。半导体封装对于解决这些挑战具有重大影响。当前和未来对于提高系统性能、增加功能、降低功耗、缩小外形尺寸的要求,需要一种被称为系统集成的先进封装方法。

系统集成可将多个集成电路 (IC) 和元器件组合到单个系统或模块化子系统中,以实现更高的性能、功能和处理速度,同时大幅降低电子器件内部的空间要求。

什么是系统级封装?

系统级封装 (SiP) 是一种功能电子系统或子系统,包括两个或更多异构半导体芯片(通常来自不同的技术节点,针对各自的功能进行优化),通常搭载无源元器件。SiP 的物理形式是模块,根据最终应用的不同,模块可以包括逻辑芯片、存储器、集成无源器件 (IPD)、射频滤波器、传感器、散热片、天线、连接器和/或电源芯片。

先进 SiP 的优势

为了满足用户提高集成度、改善电气性能、降低功耗、加快速度、缩小器件尺寸的需求,以下几大优势促使业界转向先进的SiP 解决方案:

• 比独立封装的元器件更薄/更小的外形尺寸

• 提高了性能和功能集成度

• 设计灵活性

• 提供更好的电磁干扰 (EMI) 隔离

• 减少系统占用的PCB面积和复杂度

• 改善电源管理,为电池提供更多空间

• 简化 SMT 组装过程

• 经济高效的“即插即用”解决方案

• 更快的上市时间 (TTM)

• 一站式解决方案 – 从晶圆到完全测试的 SiP 模块

应用

当前,先进的 SiP 和微型模块正被应用于移动设备、物联网 (IoT)、可穿戴设备、医疗保健、工业、 汽车 、计算和通信网络等多个市场。每种先进 SiP 解决方案的复杂程度各不相同,这取决于每种应用需要的元器件的数量和功能。

以下是高级 SiP 应用的一些示例:

根据应用需求和产品复杂度,我们提供多种先进 SiP 配置,从带有多个有源和无源元件、通过倒装芯片、引线键合和SMT进行互连的传统2D 模块,到更复杂的模块,如封装内封装 (PiP)、层叠封装 (PoP)、2.5D 和 3D 集成解决方案。先进的SiP 模块配置 (2D/2.5D/3D) 针对特定终端应用进行定制,旨在充分发挥它们的潜在优势,包括性能、成本、外形尺寸和产品上市时间 (TTM)。

在倒装芯片封装中,硅芯片使用焊接凸块而非焊线直接固定在基材上,提供密集的互连,具有很高的电气性能和热性能。倒装芯片互连实现了终极的微型化,减少了封装寄生效应,并且实现了其他传统封装方法无法实现的芯片功率分配和地线分配新模式。

长电 科技 提供丰富的倒装芯片产品组合,从搭载无源元器件的大型单芯片封装,到模块和复杂的先进 3D 封装,包含多种不同的低成本创新选项。长电 科技 的丰富倒装芯片产品组合包括:

FCBGA 和 fcCSP 都使用锡球来提供第二级 (BGA) 互连。

颠覆性的低成本倒装芯片解决方案:fcCuBE

长电 科技 还提供名为“fcCuBE ”的创新低成本倒装芯片技术。fcCuBE 是一种低成本、高性能的先进倒装芯片封装技术,其特点是采用铜 (Cu) 柱凸块、引线焊接 (BOL) 互连以及其他增强型组装工艺。顾名思义,fcCuBE 就是采用铜柱、BOL 和增强工艺的倒装芯片。fcCuBE 技术适用于各种平台。自 2006 年获得首个与 fcCuBE 相关的创新 BOL 工艺专利以来,长电 科技 投入大量资金,将这一变革性技术发展成为引人注目的倒装芯片解决方案,广泛应用于从低端到高端的移动市场以及中高端消费和云计算市场的终端产品。

fcCuBE 的优势是推动来自成本敏感型市场,如移动和消费类市场,以及网络和云计算市场的客户广泛采用这种封装,因为在这些市场上,布线密度和性能的增加是必然趋势。fcCuBE 的独特 BOL 互连结构可扩展到非常细的凸块间距,实现高 I/O 吞吐量,同时缓解与应力相关的芯片与封装之间的交互作用 (CPI),而这种现象通常与无铅和铜柱凸块结构相关。这对于中高端的网络和消费类应用而言尤其重要。

长电 科技 提供全方位一站式倒装芯片服务

凭借在晶圆级封装、晶圆探针和最终测试方面的强劲实力,长电 科技 在为客户提供全方位一站式服务方面独具优势。长电 科技 提供从涉及到生产的全方位一站式倒装芯片服务,包括高速、高引脚数的数字和射频测试。

焊线形成芯片与基材、基材与基材、基材与封装之间的互连。焊线被普遍视为最经济高效和灵活的互连技术,目前用于组装绝大多数的半导体封装。

长电 科技 的多种封装方法都采用焊线互连:

铜焊线

作为金线的低成本替代品,铜线正在成为焊线封装中首选的互连材料。铜线具有与金线相近的电气特性和性能,而且电阻更低,在需要较低的焊线电阻以提高器件性能的情况下,这将是一大优势。长电 科技 可以提供各类焊线封装类型,并最大程度地节省物料成本,从而实现最具成本效益的铜焊线解决方案。

层压封装

基于层压的球栅阵列 (BGA) 互连技术最初推出的目的是满足高级半导体芯片不断增长的高引线数要求。BGA 技术的特点是将引线以小凸块或焊球的形式置于封装的底面,具有低阻抗、易于表面安装、成本相对较低和封装可靠性高等特点。长电 科技 提供全套的基于层压的 BGA 封装,包括细间距、超薄、多芯片、堆叠和热增强配置。

除了标准层压封装之外,长电 科技 还提供多种先进堆叠封装选项,包括一系列层叠封装 (PoP) 和封装内封装 (PiP) 配置。

引线框架封装

引线框架封装的特点是芯片包封在塑料模塑复合物中,金属引线包围封装周边。这种简单的低成本封装仍然是很多应用的最佳解决方案。长电 科技 提供全面的引线框架封装解决方案,从标准引线框架封装到小巧薄型热增强封装,包括方形扁平封装 (QFP)、四边/双边无引脚、扁平封装 (QFN/DFN)、薄型小外型封装 (TSOP)、小外形晶体管 (SOT)、小外形封装 (SOP)、双内联封装 (DIP)、晶体管外形 (TO)。

存储器器件

除了增值封装组装和测试服务之外,长电 科技 还提供 Micro-SD 和 SD-USB 这两种格式的存储卡封装。Micro-SD 是集成解决方案,使用 NAND 和控制器芯片,SD-USB 则是裸片和搭载 SMT 元器件的预封装芯片。长电 科技 的存储卡解决方案采用裸片级别组装、预封装芯片组装,或者两者结合的方式。

全方位服务封装设计

我们在芯片和封装设计方面与客户展开合作,提供最能满足客户对性能、质量、周期和成本要求的产品。长电 科技 的全方位服务封装设计中心可以帮助客户确定适用于复杂集成电路的最佳封装,还能够帮助客户设计最适合特定器件的封装。

2.5/3D集成技术圆片级与扇出封装技术系统级封装技术倒装封装技术焊线封装技术MEMS与传感器

MEMS and Sensors

随着消费者对能够实现传感、通信、控制应用的智能设备的需求日益增长,MEMS 和传感器因其更小的尺寸、更薄的外形和功能集成能力,正在成为一种非常关键的封装方式。MEMS 和传感器可广泛应用于通信、消费、医疗、工业和 汽车 市场的众多系统中。

传感器

传感器是一种能够检测/测量物理属性,然后记录并报告数据和/或响应信号的装置或系统。传感器通常组装在模块中,这些模块能够基于模拟或传感器馈送信号来作出响应。传感器有很多不同的类型和应用,例如压力传感器、惯性传感器、话筒、接近传感器、指纹传感器等

微机电系统 (MEMS)

MMEMS 是一种专用传感器,它将机械和电气原件通过分立或模块方式组合起来。MEMS是典型的多芯片解决方案,例如感应芯片与专用集成电路 (ASIC) 配对使用。MEMS 器件可以由机械元件、传感器、致动器、电气和电子器件组成,并置于一个共同的硅基片上。在消费、 汽车 和移动应用中使用基于 MEMS 的传感器具备一些优势,包括体积小、功耗低、成本低等。

集成一站式解决方案

凭借我们的技术组合和专业 MEMS 团队,长电 科技 能够提供全面的一站式解决方案,为您的量产提供支持,我们的服务包括封装协同设计、模拟、物料清单 (BOM) 验证、组装、质量保证和内部测试解决方案。长电 科技 能够为客户的终端产品提供更小外形尺寸、更高性能、更低成本的解决方案。我们的创新集成解决方案能够帮助您的企业实现 MEMS 和传感器应用的尺寸、性能和成本要求。

1. 嵌入式晶圆级球栅阵列 (eWLB) - 单芯片、多芯片和堆叠的层叠封装配置

2. 晶圆级芯片尺寸封装 (WLCSP) - 非常小的单芯片

3. 倒装芯片芯片尺寸封装 (fcCSP)- 单芯片或多芯片的倒装芯片配置

4. 细间距球栅阵列 (FBGA) - 单芯片或多芯片配置

5. 接点栅格阵列 (FBGA) - 单芯片或多芯片配置

6. 四边扁平无引脚 (FBGA) - 单芯片或多芯片配置

长电 科技 提供全方位一站式倒装芯片服务

凭借在晶圆级封装、晶圆探针和最终测试方面的强劲实力,长电 科技 在为客户提供全方位一站式处理方面独具优势。长电 科技 提供从设计到生产的全方位一站式倒装芯片服务,包括高速、高引脚数的数字和射频测试。

全方位一站式解决方案的优势

• 缩短产品上市时间

• 提升整体流程效率

• 提高质量

• 降低成本

• 简化产品管理

长电 科技 位于中国、新加坡、韩国和美国的全球特性分析团队,致力于为全球客户提供先进的封装表征服务,确保客户拥有高质量、高性能、可靠和高性价比的封装设计,以满足他们的市场需求。

晶圆凸块技术可以在半导体封装中提供显著的性能、外形尺寸和成本优势。晶圆凸块是一种先进的制造工艺,在切割之前就在半导体晶圆表面形成金属焊球或凸块。晶圆凸块实现了器件中的芯片与基材或印刷电路板之间的互连。焊球的成分和尺寸取决于多种因素,例如半导体器件的外形尺寸、成本以及电气、机械和热性能要求。

长电 科技 在晶圆凸块的众多合金材料和工艺方面拥有丰富的经验,包括采用共晶、无铅和铜柱合金的印刷凸块、锡球和电镀技术。我们的晶圆凸块产品包括 200mm 和 300mm 晶圆尺寸的晶圆凸块和再分配,以提供完整的一站式先进倒装芯片封装和晶圆级封装解决方案。

长电 科技 的认证质量测试中心,提供多种可靠性试验,包括环境可靠性测试、使用寿命可靠性测试、板级可靠性试验,和全方位的故障分析服务。

封测市场高景气,公司治理和业务协同不断强化,业绩实现高速增长: 公司 2020 年归母净利润同比+1371.17%,业绩实现高速增长,主要得益 于公司进一步深化海内外制造基地资源整合、提高营运效率、改善财务 结构,大幅度提高了经营性盈利能力。2020 年,公司海外并购的新加坡 星科金朋实现营业收入 13.41 亿美元,同比增长 25.41%,净利润从 2019 年的亏损 5,431.69 万美元到 2020 年的盈利 2,293.99 万美元,实现全面 扭亏为盈。另外,收购后,子公司长电国际利用星科金朋韩国厂的技术、 厂房等新设立的长电韩国工厂(JSCK)在 2020 年实现营业收入 12.35 亿美元,同比增长 64.97%;净利润 5,833.49 万美元,同比增长 669.97%。 2021 年第一季度,公司业绩延续高增长趋势,归母净利润同比 +188.68%,毛利率 16.03%,同比+2.93pct,净利率 5.76%,同比+3.41pct。

公司可为客户提 供从设计仿真到中后道封测、系统级封测的全流程技术解决方案,已成 为中国第一大和全球第三大封测企业。公司产能全球布局,各产区的配 套产能完善,随着产能利用率的持续提升,公司生产规模优势有望进一 步凸显,同时,各产区互为补充,各具技术特色和竞争优势,完整覆盖 了低、中、高端封装测试领域,在 SiP、WL-CSP、2.5D 封装等先进封 装领域优势明显。公司聚焦 5G 通信、高性能计算、 汽车 电子、高容量 存储等关键应用领域,大尺寸 FC BGA、毫米波天线 AiP、车载封测方 案和 16 层存储芯片堆叠等产品方案不断突破,龙头地位稳固。

用户资源和 高附加价值产品项目,加强星科金朋等工厂的持续盈利能力。目前,公 司国内工厂的封测服务能力持续提升,车载涉安全等产品陆续量产,同 时,韩国厂的 汽车 电子、5G 等业务规模不断扩大,新加坡厂管理效率 和产能利用率持续提升,盈利能力稳步改善。随着公司各项业务和产线 资源整合的推进,公司盈利能力有望持续提升,未来业绩增长动能充足。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/7400768.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-05
下一篇 2023-04-05

发表评论

登录后才能评论

评论列表(0条)

保存