半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣

半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣,第1张

台积电开启晶圆代工时代,成为集成电路中最为重要的一个环节。 1987 年,台积电的成立开启了 晶圆代工时代,尤其在得到了英特尔的认证以后,晶圆代工被更多的半导体厂商所接受。晶圆代工 打破了 IDM 单一模式,成就了晶圆代工+IC 设计模式。目前,半导体行业垂直分工成为了主流, 新进入者大多数拥抱 fabless 模式,部分 IDM 厂商也在逐渐走向 fabless 或者 fablite 模式。

全球晶圆代工市场一直呈现快速增长,未来有望持续 。晶圆代工+IC 设计成为行业趋势以后,受益 互联网、移动互联网时代产品的强劲需求,整个行业一直保持快速增长,以台积电为例,其营业收 入从 1991 年的 1.7 亿美元增长到 2019 年的 346 亿美元,1991-2019 年,CAGR 为 21%。2019 年全球晶圆代工市场达到了 627 亿美元,占全球半导体市场约 15%。未来进入物联网时代,在 5G、 人工智能、大数据强劲需求下,晶圆代工行业有望保持持续快速增长。

晶圆代工行业现状:行业呈现寡头集中。 晶圆代工是制造业的颠覆,呈现资金壁垒高、技术难度大、 技术迭代快等特点,也因此导致了行业呈现寡头集中,其中台积电是晶圆代工行业绝对的领导者, 营收占比超过 50%,CR5 约为 90%。

晶圆代工行业资金壁垒高。 晶圆代工厂的资本性支出巨大,并且随着制程的提升,代工厂的资本支 出中枢不断提升。台积电资本支出从 11 年的 443 亿元增长到 19 年的 1094 亿元,CAGR 为 12%。 中芯国际资本性支出从 11 年的 30 亿元增长到了 19 年的 131 亿元,CAGR 为 20%,并且随着 14 nm 及 N+1 制程的推进,公司将显著增加 2020 年资本性支出,计划为 455 亿元。巨额投资将众多 追赶者挡在门外,新进入者难度极大。

随着制程提升,晶圆代工难度显著提升。 随着代工制程的提升,晶体管工艺、光刻、沉积、刻蚀、 检测、封装等技术需要全面创新,以此来支撑芯片性能天花板获得突破。

晶体管工艺持续创新。 传统的晶体管工艺为 bulk Si,也称为体硅平面结构(Planar FET)。 随着 MOS 管的尺寸不断的变小,即沟道的不断变小,会出现各种问题,如栅极漏电、泄漏功 率大等诸多问题,原先的结构开始力不从心,因此改进型的 SOI MOS 出现,与传统 MOS 结 构主要区别在于:SOI 器件具有掩埋氧化层,通常为 SiO2,其将基体与衬底隔离。由于氧化 层的存在,消除了远离栅极的泄漏路径,这可以降低功耗。随着制程持续提升,常规的二氧 化硅氧化层厚度变得极薄,例如在 65nm 工艺的晶体管中的二氧化硅层已经缩小仅有 5 个氧 原子的厚度了。二氧化硅层很难再进一步缩小了,否则产生的漏电流会让晶体管无法正常工 作。因此在 28nm 工艺中,高介电常数(K)的介电材料被引入代替了二氧化硅氧化层(又称 HKMG 技术)。随着设备尺寸的缩小,在较低的技术节点,例如 22nm 的,短沟道效应开始 变得更明显,降低了器件的性能。为了克服这个问题,FinFET 就此横空出世。FinFET 结构 结构提供了改进的电气控制的通道传导,能降低漏电流并克服一些短沟道效应。目前先进制 程都是采用 FinFET 结构。

制程提升,需要更精细的芯片,光刻机性能持续提升。 负责“雕刻”电路图案的核心制造设备是光刻机,它是芯片制造阶段最核心的设备之一,光刻机的精度决定了制程的精度。第四 代深紫外光刻机分为步进扫描投影光刻机和浸没式步进扫描投影光刻机,其中前者能实现最 小 130-65nm 工艺节点芯片的生产,后者能实现最小 45-22nm 工艺节点芯片的生产。通过多 次曝光刻蚀,浸没式步进扫描投影光刻机能实现 22/16/14/10nm 芯片制作。到了 7/5nm 工艺, DUV 光刻机已经较难实现生产,需要更为先进的 EUV 光刻机。EUV 生产难度极大,零部件 高达 10 万多个,全球仅 ASML 一家具备生产能力。目前 EUV 光刻机产量有限而且价格昂 贵,2019 年全年,ASML EUV 销量仅为 26 台,单台 EUV 售价高达 1.2 亿美元。

晶圆代工技术迭代快,利于头部代工厂。 芯片制程进入 90nm 节点以后,技术迭代变快,新的制程 几乎每两到三年就会出现。先进制程不但需要持续的研发投入,也需要持续的巨额资本性支出,而 且新投入的设备折旧很快,以台积电为例,新设备折旧年限为 5 年,5 年以后设备折旧完成,生产 成本会大幅度下降,头部厂商完成折旧以后会迅速降低代工价格,后进入者难以盈利。

2.1摩尔定律延续,技术难度与资本投入显著提升

追寻摩尔定律能让消费者享受更便宜的 力,晶圆代工是推动摩尔定律最重要的环节。 1965 年, 英特尔(Intel)创始人之一戈登·摩尔提出,当价格不变时,集成电路上可容纳的元器件的数目, 约每隔 18-24 个月便会增加一倍,性能也将提升一倍,这也是全球电子产品整体性能不断进化的核 心驱动力,以上定律就是著名的摩尔定律。换而言之,每一美元所能买到的电脑性能,将每隔 18- 24 个月翻一倍以上。推动摩尔定律的核心内容是发展更先进的制程,而晶圆代工是其中最重要的 环节。

摩尔定律仍在延续。 市场上一直有关于摩尔定律失效的顾虑,但是随着 45nm、28nm、10nm 持续 的推出,摩尔定律仍然保持着延续。台积电在 2018 年推出 7nm 先进工艺,2020 年开始量产 5nm, 并持续推进 3nm 的研究,预计 2022 年量产 3nm 工艺。IMEC 更是规划到了 1nm 的节点。此外, 美国国防高级研究计划局进一步提出了先进封装、存算一体、软件定义硬件处理器三个未来发展研 究与发展方向,以此来超越摩尔定律。在现在的时间点上来看,摩尔定律仍然在维持,但进一步提 升推动摩尔定律难度会显著提升。

先进制程资本性投入进一步飙升 。根据 IBS 的统计,先进制程资本性支出会显著提升。以 5nm 节 点为例,其投资成本高达数百亿美金,是 14nm 的两倍,是 28nm 的四倍。为了建设 5nm 产线, 2020 年,台积电计划全年资本性将达到 150-160 亿美元。先进制程不仅需要巨额的建设成本,而 且也提高了设计企业的门槛,根据 IBS 的预测,3nm 设计成本将会高达 5-15 亿美元。

3nm 及以下制程需要采用全新的晶体管工艺。 FinFET 已经历 16nm/14nm 和 10nm/7nm 两个工艺 世代,随着深宽比不断拉高,FinFET 逼近物理极限,为了制造出密度更高的芯片,环绕式栅极晶 体管(GAAFET,Gate-All-Ground FET)成为新的技术选择。不同于 FinFET,GAAFET 的沟道被 栅极四面包围,沟道电流比三面包裹的 FinFET 更加顺畅,能进一步改善对电流的控制,从而优化 栅极长度的微缩。三星、台积电、英特尔均引入 GAA 技术的研究,其中三星已经先一步将 GAA 用 于 3nm 芯片。如果制程到了 2nm 甚至 1nm 时,GAA 结构也许也会失效,需要更为先进的 2 维 、 甚至 3 维立体结构,目前微电子研究中心(Imec)正在开发面向 2nm 的 forksheet FET 结构。

3nm 及以下制程,光刻机也需要升级。 面向 3nm 及更先进的工艺,芯片制造商或将需要一种称为 高数值孔径 EUV(high-NA EUV)的光刻新技术。根据 ASML 年报,公司正在研发的下一代极紫 外光刻机将采用 high-NA 技术,有更高的数值孔径、分辨率和覆盖能力,较当前的 EUV 光刻机将 提高 70%。ASML 预测高数值孔径 EUV 将在 2022 年以后量产。

除上面提到巨额资本与技术难题以外,先进制程对沉积与刻蚀、检测、封装等环节也均有更高的要 求。正是因为面临巨大的资本和技术挑战,目前全球仅有台积电、三星、intel 在进一步追求摩尔定 律,中芯国际在持续追赶,而像联电、格罗方德等晶圆代工厂商已经放弃了 10nm 及以下制程工艺 的研发,全面转向特色工艺的研究与开发。先进制程的进一步推荐节奏将会放缓,为中芯国际追赶 创造了机会。

2.2先进制程占比持续提升,成熟工艺市场不断增长

高性能芯片需求旺盛,先进制程占比有望持续提升。 移动终端产品、高性能计算、 汽车 电子和通信 及物联网应用对算力的要求不断提升,要求更为先进的芯片,同时随着数据处理量的增加,存储芯 片的制程也在不断升级,先进制程的芯片占比有望持续提升。根据 ASML2018 年底的预测,到 2025 年,12 寸晶圆的先进制程占比有望达到 2/3。2019 年中,台积电 16nm 以上和以下制程分别占比 50%,根据公司预计,到 2020 年,16nm 及以下制程有望达到 55%。

CPU、逻辑 IC、存储器等一般采用先进制程(12 英寸),而功率分立器件、MEMS、模拟、CIS、 射频、电源芯片等产品(从 6μm 到 40nm 不等)则更多的采用成熟工艺(8 寸片)。 汽车 、移动 终端及可穿戴设备中超过 70%的芯片是在不大于 8 英寸的晶圆上制作完成。相比 12 寸晶圆产线,8 寸晶圆制造厂具备达到成本效益生产量要求较低的优势,因此 8 寸晶圆和 12 寸晶圆能够实现优 势互补、长期共存。

受益于物联网、 汽车 电子的快速发展,MCU、电源管理 IC、MOSFET、ToF、传感器 IC、射频芯 片等需求持续快速增长。 社会 已经从移动互联网时代进入了物联网时代,移动互联网时代联网设备 主要是以手机为主,联网设备数量级在 40 亿左右,物联网时代,设备联网数量将会成倍增加,高 通预计到 2020 年联网 设备数量有望达到 250 亿以上。飙升的物联网设备需要需要大量的成熟工艺 制程的芯片。以电源管理芯片为例,根据台积电年报数据,公司高压及电源管理晶片出货量从 2014 年的 1800 万片(8 寸)增长到 2019 年的 2900 万片,CAGR 为 10%。根据 IHS 的预测,成熟晶 圆代工市场规模有望从 2020 年的 372 亿美元增长到 2025 年的 415 亿美元。

特色工艺前景依旧广阔,主要代工厂积极布局特色工艺。 巨大的物联网市场前景,吸引了众多 IC 设计公司开发新产品。晶圆代工企业也瞄准了物联网的巨大商机,频频推出新技术,配合设计公司 更快、更好地推出新一代芯片,助力物联网产业高速发展。台积电和三星不仅在先进工艺方面领先布局,在特色工艺方面也深入布局,例如台积电在图像传感器领域、三星在存储芯片领域都深入布 局。联电、格罗方德、中芯国际、华虹半导体等代工厂也全面布局各自的特色工艺,在射频、 汽车 电子、IOT 等领域,形成了各自的特色。

5G 时代终端应用数据量爆炸式提升增加了对半导体芯片的需求,晶圆代工赛道持续繁荣。 随着对 于 5G 通信网络的建设不断推进,不仅带动数据量的爆炸式提升,要求芯片对数据的采集、处理、 存 储 效率更高,而且也催生了诸多 4G 时代难以实现的终端应用,如物联网、车联网等,增加了终 端对芯片的需求范围。对于芯片需求的增长将使得下游的晶圆代工赛道收益,未来市场前景极其广 阔。根据 IHS 预测,晶圆代工市场规模有望从 2020 年的 584 亿美元,增长到 2025 年的 857 亿美 元,CAGR 为 8%。

3.15G 推动手机芯片需求量上涨

5G 手机渗透率快速提升。手机已经进入存量时代,主要以换机为主。2019 年全球智能手机出货量 为 13.7 亿部,2020 年受疫情影响,IDC 等预测手机总体出货量为 12.5 亿台,后续随着疫情的恢 复以及 5G 产业链的成熟,5G 手机有望快速渗透并带动整个手机出货。根据 IDC 等机构预测,5G 手机出货量有望从 2020 年的 1.83 增长到 2024 年的 11.63 亿台,CAGR 为 59%。

5G 手机 SOC、存储和图像传感器全面升级,晶圆代工行业充分受益。 消费者对手机的要求越来越 高,需要更清晰的拍照功能、更好的 游戏 体验、多任务处理等等,因此手机 SOC 性能、存储性能、 图像传感器性能全面提升。目前旗舰机的芯片都已经达到了 7nm 制程,随着台积电下半年 5 nm 产 能的释放,手机 SOC 有望进入 5nm 时代。照片精度的提高,王者荣耀、吃鸡等大型手游和 VLOG 视频等内容的盛行,对手机闪存容量和速度也提出了更高的要求,LPDDR5 在 2020 年初已经正式 亮相小米 10 系列和三星 S20 系列,相较于上一代的 LPDDR4,新的 LPDDR5 标准将其 I/O 速 度从 3200MT/s 提升到 6400MT/s,理论上每秒可以传输 51.2GB 的数据。相机创新是消费者更 换新机的主要动力之一,近些年来相机创新一直在快速迭代,一方面,多摄弥补了单一相机功能不 足的缺点,另一方面,主摄像素提升带给消费者更多的高清瞬间,这两个方向的创新对晶圆及代工 的需求都显著提升。5G 时代,手机芯片晶圆代工市场将会迎来量价齐升。

5G 手机信号频段增加,射频前端芯片市场有望持续快速增长。射频前端担任信号的收发工作,包 括低噪放大器、功率放大器、滤波器、双工器、开关等。相较于 4G 频段,5G 的频段增加了中高 频的 Sub-6 频段,以及未来的更高频的毫米波频段。根据 yole 预测,射频前端市场有望从 2018 年 的 149 亿美元,增长到 2023 年的 313 亿美元,CAGR 为 16%。

3.2云计算前景广阔,服务器有望迎来快速增长

2020 年是国内 5G 大规模落地元年,有望带来更多数据流量需求 。据中国信通院在 2019 年 12 月 份发布的报告,2020 年中国 5G 用户将从去年的 446 万增长到 1 亿人,到 2024 年我国 5G 用户 渗透率将达到 45%,人数将超过 7.7 亿人,全球将达到 12 亿人,5G 用户数的高增长带来流量的 更高增长。

5G 时代来临,云计算产业前景广阔。 进入 5G 时代,IoT 设备数量将快速增加,同时应用的在线 使用需求和访问流量将快速爆发,这将进一步推动云计算产业规模的增长。根据前瞻产业研究院的 报告,2018 年中国云计算产业规模达到了 963 亿元,到 2024 年有望增长到 4445 亿元,CAGR 为 29%,产业前景广阔。

边缘计算是云计算的重要补充,迎来新一轮发展高潮。 根据赛迪顾问的数据,2018 年全球边缘计 算市场规模达到 51.4 亿美元,同比增长率 57.7%,预计未来年均复合增长率将超过 50%。而中国 边缘计算市场规模在 2018 年达到了 77.4 亿元,并且 2018-2021 将保持 61%的年复合增长率,到 2021 年达到 325.3 亿元。

服务器大成长周期确定性强。 服务器短期拐点已现,受益在线办公和在线教育需求旺盛,2020 年 服务器需求有望维持快速增长。长期来看,受益于 5G、云计算、边缘计算强劲需求,服务器销量 有望保持持续高增长。根据 IDC 预测,2024 年全球服务器销量有望达到 1938 万台,19-24 年, CAGR 为 13%。

服务器半导体需求持续有望迎来快速增长,晶圆代工充分受益。 随着服务器数量和性能的提升,服 务器逻辑芯片、存储芯片对晶圆的需求有望快速增长,根据 Sumco 的预测,服务器对 12 寸晶圆 需求有望从 2019 年的 80 万片/月,增长到 2024 年的 158 万片/月,19-24 年 CAGR 为 8%。晶圆 代工市场有望充分受益服务器芯片量价齐升。

3.3三大趋势推动 汽车 半导体价值量提升

传统内燃机主要价值量主要集中在其动力系统。 而随着人们对于 汽车 出行便捷性、信息化的要求逐 渐提高, 汽车 逐步走向电动化、智能化、网联化,这将促使微处理器、存储器、功率器件、传感器、 车载摄像头、雷达等更为广泛的用于 汽车 发动机控制、底盘控制、电池控制、车身控制、导航及车 载 娱乐 系统中, 汽车 半导体产品的用量显著增加。

车用半导体有望迎来加速增长。 根据 IHS 的报告,车用半导体销售额 2019 年为 410 亿美元,13- 19 年 CAGR 为 8%。随着 汽车 加速电动化、智能化、网联化,车用芯片市场规模有望迎来加速, 根据 Gartner 的数据,全球 汽车 半导体市场 2019 年销售规模达 410.13 亿美元,预计 2022 年有望 达到 651 亿美元,占全球半导体市场规模的比例有望达到 12%,并成为半导体下游应用领域中增 速最快的部分。

自动驾驶芯片要求高,有望进一步拉动先进制程需求。 自动驾驶是通过雷达、摄像头等将采集车辆 周边的信息,然后通过自动驾驶芯片处理数据并给出反馈,以此降低交通事故的发生率、提高城市 中的运载效率并降低驾驶员的驾驶强度。自动驾驶要求多传感器之间能够及时、高效地传递信息, 并同时完成路线规划和决策,因此需要完成大量的数据运算和处理工作。随着自动驾驶级别的上升, 对于芯片算力的要求也越高,产生的半导体需求和价值量也随之水涨船高。英伟达自动驾驶芯片随 着自动驾驶级别的提升,芯片制程也显著提升,最早 Drive PX 采用的是 20nm 工艺,而最新 2019 年发布的 Drive AGX Orin 将会采用三星 8nm 工艺。根据英飞凌的预测,自动驾驶给 汽车 所需要的 半导体价值带来相当可观的增量,一辆车如果实现 Level2 自动驾驶,半导体价值增量就将达到 160 美元,若自动驾驶级别达到 level4&5,增量将会达到 970 美元。

3.4IoT 快速增长,芯片类型多

随着行业标准完善、技术不断进步、政策的扶持,全球物联网市场有望迎来爆发性增长。GSMA 预 测,中国 IOT 设备联网数将会从 2019 年的 36 亿台, 增到 到 2025 年的 80 亿台,19-25 年 CAGR 为 17.3%。根据全球第二大市场研究机构 MarketsandMarkets 的报告,2018 年全球 IoT 市场规模 为 795 亿美元,预计到 2023 年将增长到 2196 亿美元,18-23 年 CAGR 为 22.5%。

物联网的发展需要大量芯片支撑,半导体市场规模有望迎来进一步增长 。物联网感知层的核心部件 是传感器系统,产品需要从现实世界中采集图像、温度、声音等多种信息,以实现对于所处场景的 智能分析。感知需要向设备中植入大量的 MEMS 芯片,例如麦克风、陀螺仪、加速度计等;设备 互通互联需要大量的通信芯片,包括蓝牙、WIFI、蜂窝网等;物联网时代终端数量和数据传输通道 数量大幅增加,安全性成为最重要的需求之一,为了避免产品受到恶意攻击,需要各种类型的安全 芯片作支持;同时,身份识别能够保障信息不被盗用,催生了对于虹膜识别和指纹识别芯片的需求; 作为物联网终端的总控制点,MCU 芯片更是至关重要,根据 IC Insights 的预测,2018 年 MCU 市 场规模增长 11%,预计未来四年内 CAGR 达 7.2%,到 2022 年将超过 240 亿美元。

4.1 国内 IC 设计企业快速增长,代工需求进一步放量

国内集成电路需求旺盛,有望持续维持快速增长。 国内集成电路市场需求旺盛,从 2013 年的 820 亿美元快速增长到 2018 年的 1550 亿美元,CAGR 为 13.6%,IC insight 预测,到 2023 年,中国 集成电路市场需求有望达到 2290 亿美元,CAGR 为 8%。但是同时,国内集成电路自给率也严重 不足,2018 年仅为 15%,IC insight 在 2019 年预测,到 2023 年,国内集成电路自给率为 20%。

需求驱动,国内 IC 设计快速成长。 在市场巨大的需求驱动下,国内 IC 设计企业数量快速增加,尤 其近几年,在国内政策的鼓励下,以及中美贸易摩擦大的背景下,IC 设计企业数量加速增加,2019 年底,国内 IC 设计企业数量已经达到了 1780 家,2010-2019 年,CAGR 为 13%。根据中芯国际 的数据,国内 IC 设计公司营收 2020 年有望达到 480 亿美元,2011-2020 年 CAGR 为 24%,远 高于同期国际 4%的复合增长率。

国内已逐步形成头部 IC 设计企业。 根据中国半导体行业协会的统计,2019 年营收前十的入围门槛 从 30 亿元大幅上升到 48 亿元,这十大企业的增速也同样十分惊人,达到 47%。国内 IC 企业逐步 做大做强,部分领域已经形成了一些头部企业:手机 SoC 芯片领域有华为海思、中兴微电子深度 布局;图像传感领域韦尔豪威大放异彩;汇顶 科技 于 2019 年引爆了光学屏下指纹市场;卓胜微、 澜起 科技 分别在射频开关和内存接口领域取得全球领先。IC 设计企业快速成长有望保持对晶圆代 工的强劲需求。

晶圆代工自给率不足。 中国是全球最大的半导体需求市场,根据中芯国际的预测,2020 年中国对 半导体产品的需求为 2130 亿美元,占全球总市场份额为 49%,但是与之相比的是晶圆代工市场份 额严重不足,根据拓墣研究的数据,2020Q2,中芯国际和华虹半导体份额加起来才 6%,晶圆代 工自给率严重不足,尤其考虑到中国 IC 设计企业数量快速增长,未来的需求有望持续增长,而且, 美国对华为等企业的禁令,更是让我们意识到了提升本土晶圆代工技术和产能的重要性。

4.2政策与融资支持,中国晶圆代工企业迎来良机(略)

晶圆代工需求不断增长,但国内自给严重不足,受益需求与国内政策双重驱动,国内晶圆代工迎来 良机。建议关注:国内晶圆代工龙头,突破先进制程瓶颈的中芯国际-U、特色化晶 圆代工与功率半导体 IDM 双翼发展的华润微华润微、坚持特色工艺,盈利能力强的华虹半导体华虹半导体。

……

(报告观点属于原作者,仅供参考。作者:东方证券,蒯剑、马天翼)

如需完整报告请登录【未来智库】www.vzkoo.com。

为了消除多晶材料中各小晶体之间的晶粒间界对半导体材料特性参量的巨大影响,半导体器件的基体材料一般采用单晶体。单晶制备一般可分大体积单晶(即体单晶)制备和薄膜单晶的制备。体单晶的产量高,利用率高,比较经济。但很多的器件结构要求厚度为微米量级的薄层单晶。由于制备薄层单晶所需的温度较低,往往可以得到质量较好的单晶。具体的制备方法有:①从熔

体中拉制单晶:用与熔体相同材料的小单晶体作为籽晶,当籽晶与熔体接触并向上提拉时,熔体依靠表面张力也被拉出液面,同时结晶出与籽晶具有相同晶体取向的单晶体。②区域熔炼法制备单晶:用一籽晶与半导体锭条在头部熔接,随着熔区的移动则结晶部分即成单晶。③从溶液中再结晶。④从汽相中生长单晶。前两种方法用来生长体单晶,用提拉法已经能制备直径为200毫米,长度为1~2米的锗、硅单晶体。后两种方法主要用来生长薄层单晶。这种薄层单晶的生长一般称外延生长,薄层材料就生长在另一单晶材料上。这另一单晶材料称为衬底,一方面作为薄层材料的附着体,另一方面即为单晶生长所需的籽晶。衬底与外延层可以是同一种材料(同质外延),也可以是不同材料(异质外延)。采用从溶液中再结晶原理的外延生长方法称液相外延;采用从汽相中生长单晶原理的称汽相外延。液相外延就是将所需的外延层材料(作为溶质,例如GaAs),溶于某一溶剂(例如液态镓)成饱和溶液,然后将衬底浸入此溶液,逐渐降低其温度,溶质从过饱和溶液中不断析出,在衬底表面结晶出单晶薄层。汽相外延生长可以用包含所需材料为组分的某些化合物气体或蒸汽通过分解或还原等化学反应淀积于衬底上,也可以用所需材料为源材料,然后通过真空蒸发、溅射等物理过程使源材料变为气态,再在衬底上凝聚。分子束外延是一种经过改进的真空蒸发工艺。利用这种方法可以精确控制射向衬底的蒸气速率,能获得厚度只有几个原子厚的超薄单晶,并可得到不同材料不同厚度的互相交叠的多层外延材料。非晶态半导体虽然没有单晶制备的问题,但制备工艺与上述方法相似,一般常用的方法是从汽相中生长薄膜非晶材料。 氮化镓、碳化硅和氧化锌等都是宽带隙半导体材料,因为它的禁带宽度都在3个电子伏以上,在室温下不可能将价带电子激发到导带。器件的工作温度可以很高,比如说碳化硅可以工作到600摄氏度;金刚石如果做成半导体,温度可以更高,器件可用在石油钻探头上收集相关需要的信息。它们还在航空、航天等恶劣环境中有重要应用。广播电台、电视台,唯一的大功率发射管还是电子管,没有被半导体器件代替。这种电子管的寿命只有两三千小时,体积大,且非常耗电;如果用碳化硅的高功率发射器件,体积至少可以减少几十到上百倍,寿命也会大大增加,所以高温宽带隙半导体材料是非常重要的新型半导体材料。

这种材料非常难生长,硅上长硅,砷化镓上长GaAs,它可以长得很好。但是这种材料大多都没有块体材料,只得用其它材料做衬底去长。比如说氮化镓在蓝宝石衬底上生长,蓝宝石跟氮化镓的热膨胀系数和晶格常数相差很大,长出来的外延层的缺陷很多,这是最大的问题和难关。另外这种材料的加工、刻蚀也都比较困难。科学家正在着手解决这个问题,如果这个问题一旦解决,就可以提供一个非常广阔的发现新材料的空间。 实际上这里说的低维半导体材料就是纳米材料,之所以不愿意使用这个词,发展纳米科学技术的重要目的之一,就是人们能在原子、分子或者纳米的尺度水平上来控制和制造功能强大、性能优越的纳米电子、光电子器件和电路,纳米生物传感器件等,以造福人类。可以预料,纳米科学技术的发展和应用不仅将彻底改变人们的生产和生活方式,也必将改变社会政治格局和战争的对抗形式。这也是为什么人们对发展纳米半导体技术非常重视的原因。

电子在块体材料里,在三个维度的方向上都可以自由运动。但当材料的特征尺寸在一个维度上比电子的平均自由程相比更小的时候,电子在这个方向上的运动会受到限制,电子的能量不再是连续的,而是量子化的,我们称这种材料为超晶格、量子阱材料。量子线材料就是电子只能沿着量子线方向自由运动,另外两个方向上受到限制;量子点材料是指在材料三个维度上的尺寸都要比电子的平均自由程小,电子在三个方向上都不能自由运动,能量在三个方向上都是量子化的。

由于上述的原因,电子的态密度函数也发生了变化,块体材料是抛物线,电子在这上面可以自由运动;如果是量子点材料,它的态密度函数就像是单个的分子、原子那样,完全是孤立的 函数分布,基于这个特点,可制造功能强大的量子器件。

大规模集成电路的存储器是靠大量电子的充放电实现的。大量电子的流动需要消耗很多能量导致芯片发热,从而限制了集成度,如果采用单个电子或几个电子做成的存储器,不但集成度可以提高,而且功耗问题也可以解决。激光器效率不高,因为激光器的波长随着温度变化,一般来说随着温度增高波长要红移,所以光纤通信用的激光器都要控制温度。如果能用量子点激光器代替现有的量子阱激光器,这些问题就可迎刃而解了。

基于GaAs和InP基的超晶格、量子阱材料已经发展得很成熟,广泛地应用于光通信、移动通讯、微波通讯的领域。量子级联激光器是一个单极器件,是近十多年才发展起来的一种新型中、远红外光源,在自由空间通信、红外对抗和遥控化学传感等方面有着重要应用前景。它对MBE制备工艺要求很高,整个器件结构几百到上千层,每层的厚度都要控制在零点几个纳米的精度,中国在此领域做出了国际先进水平的成果;又如多有源区带间量子隧穿输运和光耦合量子阱激光器,它具有量子效率高、功率大和光束质量好的特点,中国已有很好的研究基础;在量子点(线)材料和量子点激光器等研究方面也取得了令国际同行瞩目的成绩。 杂质控制的方法大多数是在晶体生长过程中同时掺入一定类型一定数量的杂质原子。这些杂质原子最终在晶体中的分布,除了决定于生长方法本身以外,还决定于生长条件的选择。例如用提拉法生长时杂质分布除了受杂质分凝规律的影响外,还受到熔体中不规则对流的影响而产生杂质分布的起伏。此外,无论采用哪种晶体生长方法,生长过程中容器、加热器、环境气氛甚至衬底等都会引入杂质,这种情况称自掺杂。晶体缺陷控制也是通过控制晶体生长条件(例如晶体周围热场对称性、温度起伏、环境压力、生长速率等)来实现的。随着器件尺寸的日益缩小,对晶体中杂质分布的微区不均匀和尺寸为原子数量级的微小缺陷也要有所限制。因此如何精心设计,严格控制生长条件以满足对半导体材料中杂质、缺陷的各种要求是半导体材料工艺中的一个中心问题。

行业主要上市企业:目前国内第三代半导体行业的上市公司主要有华润微(688396)、三安光电(600703)、士兰微(600460)、闻泰科技(600745)、新洁能(605111)、露笑科技(002617)、斯达半导(603290)等。

本文核心数据:第三代半导体分类、SiC、GaN电子电力和GaN微波射频产值、SiC、GaN电子电力和GaN微波射频市场规模

行业概况

1、定义

以碳化硅(SiC)、氮化镓(GaN)、氧化锌(ZnO)、金刚石、氮化铝(AIN)为代表的宽禁带半导体材料,被称为第三代半导体材料,目前发展较为成熟的是碳化硅(SiC)和氮化镓(GaN)。

与传统材料相比,第三代半导体材料更适合制造耐高温、耐高压、耐大电流的高频大功率器件,因此,其为基础制成的第三代半导体具备更宽的禁带宽度、更高的击穿电场、更高的导热频,以及更强的抗辐射能力等诸多优势,在高温、高频、强辐射等环境下被广泛应用。

第三代半导体主要包括碳化硅(SiC)、氮化铝(AlN)、氮化镓(GaN)、金刚石、氧化锌(ZnO),其中,碳化硅(SiC)和氮化镓(GaN)并称为第三代半导体材料的“双雄”,是第三代半导体材料的典型代表。

2、产业链剖析:产业链涉及多个环节

第三代半导体产业链分为上游原材料供应,中游第三代半导体制造和下游第三代半导体器件环节。上游原材料包括衬底和外延片中游包括第三代版奥体设计、晶圆制造和封装测试下游为第三代半导体器件应用,包括微波射频器件、电力电子器件和光电子器件等。中国第三代半导体行业产业链如下:

第三代产业链各个环节国内均有企业涉足。从事衬底片的国内厂商主要用露笑科技、三安光电、天科合达、山东天岳、维微科技、科恒晶体、镓铝光电等等从事外延片生产的厂商主要有瀚天天成、东莞天域、晶湛半导体、聚能晶源、英诺赛科等。苏州能讯、四川益丰电子、中科院苏州纳米所等从事第三代半导体器件的厂商较多,包括比亚迪半导体、闻泰科技、华润微、士兰微、斯达半导、扬杰科技、泰科天润等。

行业发展历程:兴起的时间较短

中国第三代半导体兴起的时间较短,2013年,科技部863计划首次阿静第三代半导体产业列为国战战略发展产业。

2016年,为第三代半导体发展元年,国务院国家新产业发展小组将第三半导体产业列为发展重点,国内企业扩大第三半导体研发项目投资,行业进入快速发展期。

2018年1月,中车时代电气建成国内第一条6 英寸碳化硅生产线2018年,泰科天润建成了国内第一条碳化硅器件生产线2019年9月,三安集成已建成了国内第一条6英寸氮化镓(GaN)、砷化镓(GaAs)外延芯片产线并投入量产。在2020年7月,华润微宣布国内首条6英寸商用SiC晶圆生产线正式量产。

2020年9月,第三代半导体写入“十四五”规划,行业被推向风口。

行业发展现状

1、产值规模逆势增长

随着5G、新能源汽车等市场发展,第三代半导体的需求规模保持高速增长。同时,中美贸易战的影响给国产第三代半导体材料带来了发展良机。2020年在国内大半导体产业增长乏力的大背景下,我国第三代半导体产业实现逆势增长。

2020年我国第三代半导体产业电子电力和射频电子总产值超过100亿元,较2019年同比增长69.5%。

其中,SiC、GaN电子电力产值规模达44.7亿元,同比增长54%GaN微波射频产值达到60.8亿元,同比增长80.3%。

2、产能大幅增长但仍供应不足

根据CASA数据显示截至2020年底,我国SiC导电型衬底折算4英寸产能约40万片/年,SiC-on-SiC外延片折算6英寸产能约为22万片/年,SiC-onSiC器件/模块(4/6英寸兼容)产能约26万片/年。

GaN-on-Si外延片折算6英寸产能约为28万片/年,GaN-on-Si器件/模块折算 6 英寸产能约为22万片/年。

但随着新能源汽车、5G、PD快充等市场的发展,我国国产化第三代半导体产品无法满足庞大的市场需求,目前有超过八成产品依赖进口。可见第三代半导体产品国产化替代空间较大。

3、电力电子器件市场规模接近50亿元

2017-2020年,中国SiC、GaN电力电子器件应用市场快速增长,2020年,SiC、GaN电力电子器件应用市场规模为46.8亿元,同比增长90%。

2020年,我国半导体分立器件的市场规模约3002.6亿元,SiC、GaN电力电子器件的应用渗透率约为1.56%。

目前,GaN主要应用在射频及快充领域。SiC重点应用于新能源汽车和充电桩领域。我国作为全球最大的新能源汽车市场,第三代半导体器件在新能源汽车充电桩领域的渗透快于整车市场,占比达38%消费类电源(PFC)占22%光伏逆变器占了15%工业及商业电源、不间断电源UPS、快充电源、工业电机分别占6%、3%、3%、1%。

2020年,我国GaN微波射频器件市场规模约为66.1亿元,同比增长57.2%。其中国防军事与航天应用规模34.8亿元,成为GaN射频主要拉动因素。

国防军事与航天应用是我国GaN微波射频器件的主要应用领域,2020年市场规模占整个GaN射频器件市场的53%其次是无线基础设施,下游市场占比为36%。

行业竞争格局

1、区域竞争格局:江苏省第三代半导体代表性企业分布最多

当前,我国第三代半导体初步形成了京津冀鲁、长三角、珠三角、闽三角、中西部等五大重点发展区域。

从我国第三代半导体行业产业链企业区域分布来看,第三代半导体行业产业链企业在全国绝大多数省份均有分布。其中河南省第三代半导体企业数量分布最多,同时山东、江苏和甘肃等省份企业数量也相对集中。

从代表性企业分布情况来看,江苏省第三代半导体代表性企业分布最多,如苏州纳维、晶湛半导体、英诺赛科等。同时广东、山东代表性企业也有较多代表性企业分布。

2、企业竞争格局:主流企业加速扩张布局

经过初期的发展,第三代半导体迅速在新能源汽车、5G基站、PD快充等领域应用,市场规模增长迅速。同时,行业内的竞争也逐渐加剧。为了迎合市场需求,抢占市场地位,国内主流半导体企业均加强在第三代半导体产业的布局,扩充第三代半导体的产能。其中,代表性的主流企业有三安光电、中电科55所、泰科天润等。

行业发展前景及趋势预测

1、2025年行业规模有望超过500亿元

第三代半导体已经写入“十四五”规划。在国家政策的支持和下游需求增长的背景下,预计到2021-2025年,我国SiC、GaN电力电子器件应用市场将以45%的年复合增长率增长至2025年的近300亿元GaN微波射频器件市场规模将以25.4%的年均复合增长率增长至2025年的205亿元。2025年第三代半导体整体市场规模有望超过500亿元。

2、国产化进程将加速

未来,在市场竞争趋势方面,我国第三代半导体行业国产化率将会加深在细分产品发展趋势方面,SiC需求将会增长在技术发展趋势方面,大尺寸Si基GaN外延等问题将会有所进展。

以上数据参考前瞻产业研究院《中国第三代半导体材料行业市场前瞻与投资战略规划分析报告》。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/7484302.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-06
下一篇 2023-04-06

发表评论

登录后才能评论

评论列表(0条)

保存