半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣

半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣,第1张

台积电开启晶圆代工时代,成为集成电路中最为重要的一个环节。 1987 年,台积电的成立开启了 晶圆代工时代,尤其在得到了英特尔的认证以后,晶圆代工被更多的半导体厂商所接受。晶圆代工 打破了 IDM 单一模式,成就了晶圆代工+IC 设计模式。目前,半导体行业垂直分工成为了主流, 新进入者大多数拥抱 fabless 模式,部分 IDM 厂商也在逐渐走向 fabless 或者 fablite 模式。

全球晶圆代工市场一直呈现快速增长,未来有望持续 。晶圆代工+IC 设计成为行业趋势以后,受益 互联网、移动互联网时代产品的强劲需求,整个行业一直保持快速增长,以台积电为例,其营业收 入从 1991 年的 1.7 亿美元增长到 2019 年的 346 亿美元,1991-2019 年,CAGR 为 21%。2019 年全球晶圆代工市场达到了 627 亿美元,占全球半导体市场约 15%。未来进入物联网时代,在 5G、 人工智能、大数据强劲需求下,晶圆代工行业有望保持持续快速增长。

晶圆代工行业现状:行业呈现寡头集中。 晶圆代工是制造业的颠覆,呈现资金壁垒高、技术难度大、 技术迭代快等特点,也因此导致了行业呈现寡头集中,其中台积电是晶圆代工行业绝对的领导者, 营收占比超过 50%,CR5 约为 90%。

晶圆代工行业资金壁垒高。 晶圆代工厂的资本性支出巨大,并且随着制程的提升,代工厂的资本支 出中枢不断提升。台积电资本支出从 11 年的 443 亿元增长到 19 年的 1094 亿元,CAGR 为 12%。 中芯国际资本性支出从 11 年的 30 亿元增长到了 19 年的 131 亿元,CAGR 为 20%,并且随着 14 nm 及 N+1 制程的推进,公司将显著增加 2020 年资本性支出,计划为 455 亿元。巨额投资将众多 追赶者挡在门外,新进入者难度极大。

随着制程提升,晶圆代工难度显著提升。 随着代工制程的提升,晶体管工艺、光刻、沉积、刻蚀、 检测、封装等技术需要全面创新,以此来支撑芯片性能天花板获得突破。

晶体管工艺持续创新。 传统的晶体管工艺为 bulk Si,也称为体硅平面结构(Planar FET)。 随着 MOS 管的尺寸不断的变小,即沟道的不断变小,会出现各种问题,如栅极漏电、泄漏功 率大等诸多问题,原先的结构开始力不从心,因此改进型的 SOI MOS 出现,与传统 MOS 结 构主要区别在于:SOI 器件具有掩埋氧化层,通常为 SiO2,其将基体与衬底隔离。由于氧化 层的存在,消除了远离栅极的泄漏路径,这可以降低功耗。随着制程持续提升,常规的二氧 化硅氧化层厚度变得极薄,例如在 65nm 工艺的晶体管中的二氧化硅层已经缩小仅有 5 个氧 原子的厚度了。二氧化硅层很难再进一步缩小了,否则产生的漏电流会让晶体管无法正常工 作。因此在 28nm 工艺中,高介电常数(K)的介电材料被引入代替了二氧化硅氧化层(又称 HKMG 技术)。随着设备尺寸的缩小,在较低的技术节点,例如 22nm 的,短沟道效应开始 变得更明显,降低了器件的性能。为了克服这个问题,FinFET 就此横空出世。FinFET 结构 结构提供了改进的电气控制的通道传导,能降低漏电流并克服一些短沟道效应。目前先进制 程都是采用 FinFET 结构。

制程提升,需要更精细的芯片,光刻机性能持续提升。 负责“雕刻”电路图案的核心制造设备是光刻机,它是芯片制造阶段最核心的设备之一,光刻机的精度决定了制程的精度。第四 代深紫外光刻机分为步进扫描投影光刻机和浸没式步进扫描投影光刻机,其中前者能实现最 小 130-65nm 工艺节点芯片的生产,后者能实现最小 45-22nm 工艺节点芯片的生产。通过多 次曝光刻蚀,浸没式步进扫描投影光刻机能实现 22/16/14/10nm 芯片制作。到了 7/5nm 工艺, DUV 光刻机已经较难实现生产,需要更为先进的 EUV 光刻机。EUV 生产难度极大,零部件 高达 10 万多个,全球仅 ASML 一家具备生产能力。目前 EUV 光刻机产量有限而且价格昂 贵,2019 年全年,ASML EUV 销量仅为 26 台,单台 EUV 售价高达 1.2 亿美元。

晶圆代工技术迭代快,利于头部代工厂。 芯片制程进入 90nm 节点以后,技术迭代变快,新的制程 几乎每两到三年就会出现。先进制程不但需要持续的研发投入,也需要持续的巨额资本性支出,而 且新投入的设备折旧很快,以台积电为例,新设备折旧年限为 5 年,5 年以后设备折旧完成,生产 成本会大幅度下降,头部厂商完成折旧以后会迅速降低代工价格,后进入者难以盈利。

2.1摩尔定律延续,技术难度与资本投入显著提升

追寻摩尔定律能让消费者享受更便宜的 力,晶圆代工是推动摩尔定律最重要的环节。 1965 年, 英特尔(Intel)创始人之一戈登·摩尔提出,当价格不变时,集成电路上可容纳的元器件的数目, 约每隔 18-24 个月便会增加一倍,性能也将提升一倍,这也是全球电子产品整体性能不断进化的核 心驱动力,以上定律就是著名的摩尔定律。换而言之,每一美元所能买到的电脑性能,将每隔 18- 24 个月翻一倍以上。推动摩尔定律的核心内容是发展更先进的制程,而晶圆代工是其中最重要的 环节。

摩尔定律仍在延续。 市场上一直有关于摩尔定律失效的顾虑,但是随着 45nm、28nm、10nm 持续 的推出,摩尔定律仍然保持着延续。台积电在 2018 年推出 7nm 先进工艺,2020 年开始量产 5nm, 并持续推进 3nm 的研究,预计 2022 年量产 3nm 工艺。IMEC 更是规划到了 1nm 的节点。此外, 美国国防高级研究计划局进一步提出了先进封装、存算一体、软件定义硬件处理器三个未来发展研 究与发展方向,以此来超越摩尔定律。在现在的时间点上来看,摩尔定律仍然在维持,但进一步提 升推动摩尔定律难度会显著提升。

先进制程资本性投入进一步飙升 。根据 IBS 的统计,先进制程资本性支出会显著提升。以 5nm 节 点为例,其投资成本高达数百亿美金,是 14nm 的两倍,是 28nm 的四倍。为了建设 5nm 产线, 2020 年,台积电计划全年资本性将达到 150-160 亿美元。先进制程不仅需要巨额的建设成本,而 且也提高了设计企业的门槛,根据 IBS 的预测,3nm 设计成本将会高达 5-15 亿美元。

3nm 及以下制程需要采用全新的晶体管工艺。 FinFET 已经历 16nm/14nm 和 10nm/7nm 两个工艺 世代,随着深宽比不断拉高,FinFET 逼近物理极限,为了制造出密度更高的芯片,环绕式栅极晶 体管(GAAFET,Gate-All-Ground FET)成为新的技术选择。不同于 FinFET,GAAFET 的沟道被 栅极四面包围,沟道电流比三面包裹的 FinFET 更加顺畅,能进一步改善对电流的控制,从而优化 栅极长度的微缩。三星、台积电、英特尔均引入 GAA 技术的研究,其中三星已经先一步将 GAA 用 于 3nm 芯片。如果制程到了 2nm 甚至 1nm 时,GAA 结构也许也会失效,需要更为先进的 2 维 、 甚至 3 维立体结构,目前微电子研究中心(Imec)正在开发面向 2nm 的 forksheet FET 结构。

3nm 及以下制程,光刻机也需要升级。 面向 3nm 及更先进的工艺,芯片制造商或将需要一种称为 高数值孔径 EUV(high-NA EUV)的光刻新技术。根据 ASML 年报,公司正在研发的下一代极紫 外光刻机将采用 high-NA 技术,有更高的数值孔径、分辨率和覆盖能力,较当前的 EUV 光刻机将 提高 70%。ASML 预测高数值孔径 EUV 将在 2022 年以后量产。

除上面提到巨额资本与技术难题以外,先进制程对沉积与刻蚀、检测、封装等环节也均有更高的要 求。正是因为面临巨大的资本和技术挑战,目前全球仅有台积电、三星、intel 在进一步追求摩尔定 律,中芯国际在持续追赶,而像联电、格罗方德等晶圆代工厂商已经放弃了 10nm 及以下制程工艺 的研发,全面转向特色工艺的研究与开发。先进制程的进一步推荐节奏将会放缓,为中芯国际追赶 创造了机会。

2.2先进制程占比持续提升,成熟工艺市场不断增长

高性能芯片需求旺盛,先进制程占比有望持续提升。 移动终端产品、高性能计算、 汽车 电子和通信 及物联网应用对算力的要求不断提升,要求更为先进的芯片,同时随着数据处理量的增加,存储芯 片的制程也在不断升级,先进制程的芯片占比有望持续提升。根据 ASML2018 年底的预测,到 2025 年,12 寸晶圆的先进制程占比有望达到 2/3。2019 年中,台积电 16nm 以上和以下制程分别占比 50%,根据公司预计,到 2020 年,16nm 及以下制程有望达到 55%。

CPU、逻辑 IC、存储器等一般采用先进制程(12 英寸),而功率分立器件、MEMS、模拟、CIS、 射频、电源芯片等产品(从 6μm 到 40nm 不等)则更多的采用成熟工艺(8 寸片)。 汽车 、移动 终端及可穿戴设备中超过 70%的芯片是在不大于 8 英寸的晶圆上制作完成。相比 12 寸晶圆产线,8 寸晶圆制造厂具备达到成本效益生产量要求较低的优势,因此 8 寸晶圆和 12 寸晶圆能够实现优 势互补、长期共存。

受益于物联网、 汽车 电子的快速发展,MCU、电源管理 IC、MOSFET、ToF、传感器 IC、射频芯 片等需求持续快速增长。 社会 已经从移动互联网时代进入了物联网时代,移动互联网时代联网设备 主要是以手机为主,联网设备数量级在 40 亿左右,物联网时代,设备联网数量将会成倍增加,高 通预计到 2020 年联网 设备数量有望达到 250 亿以上。飙升的物联网设备需要需要大量的成熟工艺 制程的芯片。以电源管理芯片为例,根据台积电年报数据,公司高压及电源管理晶片出货量从 2014 年的 1800 万片(8 寸)增长到 2019 年的 2900 万片,CAGR 为 10%。根据 IHS 的预测,成熟晶 圆代工市场规模有望从 2020 年的 372 亿美元增长到 2025 年的 415 亿美元。

特色工艺前景依旧广阔,主要代工厂积极布局特色工艺。 巨大的物联网市场前景,吸引了众多 IC 设计公司开发新产品。晶圆代工企业也瞄准了物联网的巨大商机,频频推出新技术,配合设计公司 更快、更好地推出新一代芯片,助力物联网产业高速发展。台积电和三星不仅在先进工艺方面领先布局,在特色工艺方面也深入布局,例如台积电在图像传感器领域、三星在存储芯片领域都深入布 局。联电、格罗方德、中芯国际、华虹半导体等代工厂也全面布局各自的特色工艺,在射频、 汽车 电子、IOT 等领域,形成了各自的特色。

5G 时代终端应用数据量爆炸式提升增加了对半导体芯片的需求,晶圆代工赛道持续繁荣。 随着对 于 5G 通信网络的建设不断推进,不仅带动数据量的爆炸式提升,要求芯片对数据的采集、处理、 存 储 效率更高,而且也催生了诸多 4G 时代难以实现的终端应用,如物联网、车联网等,增加了终 端对芯片的需求范围。对于芯片需求的增长将使得下游的晶圆代工赛道收益,未来市场前景极其广 阔。根据 IHS 预测,晶圆代工市场规模有望从 2020 年的 584 亿美元,增长到 2025 年的 857 亿美 元,CAGR 为 8%。

3.15G 推动手机芯片需求量上涨

5G 手机渗透率快速提升。手机已经进入存量时代,主要以换机为主。2019 年全球智能手机出货量 为 13.7 亿部,2020 年受疫情影响,IDC 等预测手机总体出货量为 12.5 亿台,后续随着疫情的恢 复以及 5G 产业链的成熟,5G 手机有望快速渗透并带动整个手机出货。根据 IDC 等机构预测,5G 手机出货量有望从 2020 年的 1.83 增长到 2024 年的 11.63 亿台,CAGR 为 59%。

5G 手机 SOC、存储和图像传感器全面升级,晶圆代工行业充分受益。 消费者对手机的要求越来越 高,需要更清晰的拍照功能、更好的 游戏 体验、多任务处理等等,因此手机 SOC 性能、存储性能、 图像传感器性能全面提升。目前旗舰机的芯片都已经达到了 7nm 制程,随着台积电下半年 5 nm 产 能的释放,手机 SOC 有望进入 5nm 时代。照片精度的提高,王者荣耀、吃鸡等大型手游和 VLOG 视频等内容的盛行,对手机闪存容量和速度也提出了更高的要求,LPDDR5 在 2020 年初已经正式 亮相小米 10 系列和三星 S20 系列,相较于上一代的 LPDDR4,新的 LPDDR5 标准将其 I/O 速 度从 3200MT/s 提升到 6400MT/s,理论上每秒可以传输 51.2GB 的数据。相机创新是消费者更 换新机的主要动力之一,近些年来相机创新一直在快速迭代,一方面,多摄弥补了单一相机功能不 足的缺点,另一方面,主摄像素提升带给消费者更多的高清瞬间,这两个方向的创新对晶圆及代工 的需求都显著提升。5G 时代,手机芯片晶圆代工市场将会迎来量价齐升。

5G 手机信号频段增加,射频前端芯片市场有望持续快速增长。射频前端担任信号的收发工作,包 括低噪放大器、功率放大器、滤波器、双工器、开关等。相较于 4G 频段,5G 的频段增加了中高 频的 Sub-6 频段,以及未来的更高频的毫米波频段。根据 yole 预测,射频前端市场有望从 2018 年 的 149 亿美元,增长到 2023 年的 313 亿美元,CAGR 为 16%。

3.2云计算前景广阔,服务器有望迎来快速增长

2020 年是国内 5G 大规模落地元年,有望带来更多数据流量需求 。据中国信通院在 2019 年 12 月 份发布的报告,2020 年中国 5G 用户将从去年的 446 万增长到 1 亿人,到 2024 年我国 5G 用户 渗透率将达到 45%,人数将超过 7.7 亿人,全球将达到 12 亿人,5G 用户数的高增长带来流量的 更高增长。

5G 时代来临,云计算产业前景广阔。 进入 5G 时代,IoT 设备数量将快速增加,同时应用的在线 使用需求和访问流量将快速爆发,这将进一步推动云计算产业规模的增长。根据前瞻产业研究院的 报告,2018 年中国云计算产业规模达到了 963 亿元,到 2024 年有望增长到 4445 亿元,CAGR 为 29%,产业前景广阔。

边缘计算是云计算的重要补充,迎来新一轮发展高潮。 根据赛迪顾问的数据,2018 年全球边缘计 算市场规模达到 51.4 亿美元,同比增长率 57.7%,预计未来年均复合增长率将超过 50%。而中国 边缘计算市场规模在 2018 年达到了 77.4 亿元,并且 2018-2021 将保持 61%的年复合增长率,到 2021 年达到 325.3 亿元。

服务器大成长周期确定性强。 服务器短期拐点已现,受益在线办公和在线教育需求旺盛,2020 年 服务器需求有望维持快速增长。长期来看,受益于 5G、云计算、边缘计算强劲需求,服务器销量 有望保持持续高增长。根据 IDC 预测,2024 年全球服务器销量有望达到 1938 万台,19-24 年, CAGR 为 13%。

服务器半导体需求持续有望迎来快速增长,晶圆代工充分受益。 随着服务器数量和性能的提升,服 务器逻辑芯片、存储芯片对晶圆的需求有望快速增长,根据 Sumco 的预测,服务器对 12 寸晶圆 需求有望从 2019 年的 80 万片/月,增长到 2024 年的 158 万片/月,19-24 年 CAGR 为 8%。晶圆 代工市场有望充分受益服务器芯片量价齐升。

3.3三大趋势推动 汽车 半导体价值量提升

传统内燃机主要价值量主要集中在其动力系统。 而随着人们对于 汽车 出行便捷性、信息化的要求逐 渐提高, 汽车 逐步走向电动化、智能化、网联化,这将促使微处理器、存储器、功率器件、传感器、 车载摄像头、雷达等更为广泛的用于 汽车 发动机控制、底盘控制、电池控制、车身控制、导航及车 载 娱乐 系统中, 汽车 半导体产品的用量显著增加。

车用半导体有望迎来加速增长。 根据 IHS 的报告,车用半导体销售额 2019 年为 410 亿美元,13- 19 年 CAGR 为 8%。随着 汽车 加速电动化、智能化、网联化,车用芯片市场规模有望迎来加速, 根据 Gartner 的数据,全球 汽车 半导体市场 2019 年销售规模达 410.13 亿美元,预计 2022 年有望 达到 651 亿美元,占全球半导体市场规模的比例有望达到 12%,并成为半导体下游应用领域中增 速最快的部分。

自动驾驶芯片要求高,有望进一步拉动先进制程需求。 自动驾驶是通过雷达、摄像头等将采集车辆 周边的信息,然后通过自动驾驶芯片处理数据并给出反馈,以此降低交通事故的发生率、提高城市 中的运载效率并降低驾驶员的驾驶强度。自动驾驶要求多传感器之间能够及时、高效地传递信息, 并同时完成路线规划和决策,因此需要完成大量的数据运算和处理工作。随着自动驾驶级别的上升, 对于芯片算力的要求也越高,产生的半导体需求和价值量也随之水涨船高。英伟达自动驾驶芯片随 着自动驾驶级别的提升,芯片制程也显著提升,最早 Drive PX 采用的是 20nm 工艺,而最新 2019 年发布的 Drive AGX Orin 将会采用三星 8nm 工艺。根据英飞凌的预测,自动驾驶给 汽车 所需要的 半导体价值带来相当可观的增量,一辆车如果实现 Level2 自动驾驶,半导体价值增量就将达到 160 美元,若自动驾驶级别达到 level4&5,增量将会达到 970 美元。

3.4IoT 快速增长,芯片类型多

随着行业标准完善、技术不断进步、政策的扶持,全球物联网市场有望迎来爆发性增长。GSMA 预 测,中国 IOT 设备联网数将会从 2019 年的 36 亿台, 增到 到 2025 年的 80 亿台,19-25 年 CAGR 为 17.3%。根据全球第二大市场研究机构 MarketsandMarkets 的报告,2018 年全球 IoT 市场规模 为 795 亿美元,预计到 2023 年将增长到 2196 亿美元,18-23 年 CAGR 为 22.5%。

物联网的发展需要大量芯片支撑,半导体市场规模有望迎来进一步增长 。物联网感知层的核心部件 是传感器系统,产品需要从现实世界中采集图像、温度、声音等多种信息,以实现对于所处场景的 智能分析。感知需要向设备中植入大量的 MEMS 芯片,例如麦克风、陀螺仪、加速度计等;设备 互通互联需要大量的通信芯片,包括蓝牙、WIFI、蜂窝网等;物联网时代终端数量和数据传输通道 数量大幅增加,安全性成为最重要的需求之一,为了避免产品受到恶意攻击,需要各种类型的安全 芯片作支持;同时,身份识别能够保障信息不被盗用,催生了对于虹膜识别和指纹识别芯片的需求; 作为物联网终端的总控制点,MCU 芯片更是至关重要,根据 IC Insights 的预测,2018 年 MCU 市 场规模增长 11%,预计未来四年内 CAGR 达 7.2%,到 2022 年将超过 240 亿美元。

4.1 国内 IC 设计企业快速增长,代工需求进一步放量

国内集成电路需求旺盛,有望持续维持快速增长。 国内集成电路市场需求旺盛,从 2013 年的 820 亿美元快速增长到 2018 年的 1550 亿美元,CAGR 为 13.6%,IC insight 预测,到 2023 年,中国 集成电路市场需求有望达到 2290 亿美元,CAGR 为 8%。但是同时,国内集成电路自给率也严重 不足,2018 年仅为 15%,IC insight 在 2019 年预测,到 2023 年,国内集成电路自给率为 20%。

需求驱动,国内 IC 设计快速成长。 在市场巨大的需求驱动下,国内 IC 设计企业数量快速增加,尤 其近几年,在国内政策的鼓励下,以及中美贸易摩擦大的背景下,IC 设计企业数量加速增加,2019 年底,国内 IC 设计企业数量已经达到了 1780 家,2010-2019 年,CAGR 为 13%。根据中芯国际 的数据,国内 IC 设计公司营收 2020 年有望达到 480 亿美元,2011-2020 年 CAGR 为 24%,远 高于同期国际 4%的复合增长率。

国内已逐步形成头部 IC 设计企业。 根据中国半导体行业协会的统计,2019 年营收前十的入围门槛 从 30 亿元大幅上升到 48 亿元,这十大企业的增速也同样十分惊人,达到 47%。国内 IC 企业逐步 做大做强,部分领域已经形成了一些头部企业:手机 SoC 芯片领域有华为海思、中兴微电子深度 布局;图像传感领域韦尔豪威大放异彩;汇顶 科技 于 2019 年引爆了光学屏下指纹市场;卓胜微、 澜起 科技 分别在射频开关和内存接口领域取得全球领先。IC 设计企业快速成长有望保持对晶圆代 工的强劲需求。

晶圆代工自给率不足。 中国是全球最大的半导体需求市场,根据中芯国际的预测,2020 年中国对 半导体产品的需求为 2130 亿美元,占全球总市场份额为 49%,但是与之相比的是晶圆代工市场份 额严重不足,根据拓墣研究的数据,2020Q2,中芯国际和华虹半导体份额加起来才 6%,晶圆代 工自给率严重不足,尤其考虑到中国 IC 设计企业数量快速增长,未来的需求有望持续增长,而且, 美国对华为等企业的禁令,更是让我们意识到了提升本土晶圆代工技术和产能的重要性。

4.2政策与融资支持,中国晶圆代工企业迎来良机(略)

晶圆代工需求不断增长,但国内自给严重不足,受益需求与国内政策双重驱动,国内晶圆代工迎来 良机。建议关注:国内晶圆代工龙头,突破先进制程瓶颈的中芯国际-U、特色化晶 圆代工与功率半导体 IDM 双翼发展的华润微华润微、坚持特色工艺,盈利能力强的华虹半导体华虹半导体。

……

(报告观点属于原作者,仅供参考。作者:东方证券,蒯剑、马天翼)

如需完整报告请登录【未来智库】www.vzkoo.com。

CPU又称中央处理器,作为计算机系统的运算和控制核心,是半导体产业技术最密集、最具战略价值的产品,是一个国家技术势力的象征。

目前CPU的市场基本被美国的两大公司垄断,分别是大哥Intel和小弟AMD,两家几乎占领了99%的市场份额。

目前Intel和AMD以X86指令集和微软共同建立了庞大的生态系统并且不对外开放,这样一来,中国队想要自己做CPU的空间不多了。

01 CPU定义

CPU在半导体行业中是人们常接触到的一种芯片,最常见的应用就是在电脑中,其中有名的有Intel的 i9-11980HK 和AMD的 R7-5800X

按照CPU种类来分类,可以分为服务器CPU、家用电脑CPU、嵌入式设备CPU和手机CPU,服务器CPU需要更出色的性能、稳定性和安全性,要求服务器365天开机运行,连续工作,一个服务器可以安装多个CPU;而家用电脑CPU性能要求相对较低,容量较小,不要求连续工作,一个电脑只能安装一个CPU;嵌入式设备和手机对CPU的性能要求相对更低。

按照CPU指令集架构来分类,CPU可以分为RISC和CISC。

CISC 即复杂指令系统计算机,物如其名,CISC是比较复杂的,指令系统比较丰富,有特定的指令来完成对应的功能,可以处理特殊任务。

RISC及精简指令集计算机,把精力集中在经常使用的指令上,对不常用的功能,通过组合指令来完成,实现简单高效的特点,一次RISC不能处理特殊任务。通俗来说就是经常用的功能简单化,不经常用的功能复杂化。

这其中CISC代表的指令集有X86,RISC代表的指令集有ARM、MIPS、RISC-V、Alpha、SPARS,除了这两种之外,还有我国自主研发的指令集DEC和LoongArch。

02 六大国产CPU

首先我们来了解一下什么是CPU的生态环境, CPU的生态环境就是一块CPU推出后,系统和软件对它的支持和优化有多少, 比如国产CPU龙芯就没有一个好的生态,不论是采用MIPS还是自主研发的LoongArch都不能支持Windows系统。

自主建立生态环境又难于上青天,而生态如果没有建立,软件商店就不会有软件(比如QQ在Linux中停更),这也是国产CPU发展最大的瓶颈之一。

目前国内有六大CPU设计厂商,他们是华为、飞腾、兆芯、申威、龙芯、海光(均未上市),他们分别以不同的方式参与CPU的设计。

CPU国产替代的故事得从Intel开始。

Intel趁着PC的东风迅速发展,建立了X86架构,标识了一套通用计算机指令集合,并且与微软一起在X86指令集上建立了庞大的生态。

目前的X86指令集不对外授权,只被英特尔和AMD所掌握,而X86又是PC、服务器领域做得最好的,别的指令集的生态环境远远抵不过X86,留给中国队的发展空间实属有限。

中国队CPU分为3个路线。

其一是由 龙芯 和 申威 代表的:自研指令集

龙芯最初采用的是MIPS精简指令集,制作通用CPU,主要产品是自主可控消费类例如服务器、台式机、嵌入式、航天器等领域。

申威最初采用的是Alpha精简指令集,主要应用在超级计算机和军事领域。

龙芯和申威都因为生态的原因,很难发展起来,尤其是龙芯,想要打入服务器和台式机市场必须有很好的生态。

龙芯因为MIPS的分崩离析,开始发展自己的指令集—— LoongArch ,它是完全有龙芯自主研发,可以兼容MIPS生态, 并且开始尝试用二进制翻译兼容ARM、X86处理器,龙芯的目标是在2025年消除指令集之间的壁垒,彻底搞定兼容问题。

申威也因为Alpha被收购,开始发展自主研发的指令集—— SW64 ,它是由Alpha改进而来,申威制作的神威·太湖之光超级计算机便采用SW64指令集,被称为“国之重器”,在国际上都有一定的地位,多项指标全球第一。

第二路线是由 华为 和 飞腾 代表的:ARM指令集授权

华为芯片“四大天王”麒麟、鲲鹏、巴龙、升腾中,除了巴龙以外,均采用ARM指令集授权来开发。这其中最著名的就是“麒麟”了,在手机领域一度领先,直至海外因畏惧华为的崛起,开始了制裁华为事件,就此“麒麟”短暂隐身。

飞腾也是国内目前使用ARM架构制作CPU的厂商之一,其技术不弱于高通,目前公司也被美国列入黑名单,其芯片制造环节同样被卡脖子,可能成为第二个华为。

除了华为和飞腾以外,国内以ARM架构制作芯片的厂商还有很多,例如贵州华芯通、展讯通信等。

第三路线是由 兆芯 和 海光 代表的:合资获取X86授权

兆芯的X86架构授权是源自于VIA公司将部分X86处理器相关技术、资料等IP产权以1.18亿美元价格卖给兆芯。兆芯基于X86的生态和技术,性能方面普遍高于龙芯,但还是不能和英特尔比肩。

海光的X86架构授权是通过和AMD合资公司来拥有AMD授权IP,但并不是完整的技术转让,而是阉割后的残缺版,所以性能上面和AMD锐龙、高通骁龙差一个档次。

03 RISC-V

RISC-V近些年流行的新型指令集,它是一种开源式指令集,对使用者免费开放,也是这种特性使它被众多专家认为是中国处理器产业的一次机会,而且可能是最后一次机会。

目前全球CPU的市场格局是以X86架构垄断PC、服务器行业;ARM架构垄断移动设备行业,这两家几乎涵盖了所有CPU市场需求。

X86架构归“Wintel”(英特尔+微软)所属,是一种封闭指令集,不对外授权, 简单说就是谁也别想用,就我自己能用 ;ARM架构属于可授权指令集+可授权设计, 简单说就是你用需要经过我同意并且收费,你想再它基础上设计还得再经过我同意并且再收费。

正因为如此,RISC-V作为开放式指令集,被中国队大力支持,看作救命稻草。

那RISC-V究竟有没有那么好呢?我们主要得看两方面: 一个是它的生态好不好,生态是决定指令集发展空间的最大因素;另一个就是它到底是不是彻头彻尾的免费,日后会不会再被卡脖子。

第一,RISC-V的生态怎么样。

RISC-V具有性能高、功率低、面积小、易于扩展等技术特点,最重要的是它的开源、免费的独特属性,为其带来众多合作商,影响力逐步扩大。

从2015年组织RISC-V基金会成立是的25个成员,到现在已经有超过300多个单位的加入,其中包括阿里、谷歌、华为、英伟达、高通、中科院、麻省理工等等。

日前,有知情人士表明,英特尔将以20亿美元收购RISC-V领域的重量级公司SiFive,这也表明了英特尔的态度。

虽然英特尔靠X86架构在PC、服务器领域无人能敌,但是移动设备一直是他的心病,ARM在移动设备领域是他无法抗衡的,而RISC-V的出现,给了机会。

但是看好归看好,ARM的垄断地位依旧很难撼动,RISC-V后续可能与X86联手对抗ARM,但更大的可能是打入嵌入式设备市场中,做物联网领域的“一哥”。

总体来说,不论是PC、服务器,还是移动设备,都很难被RISC-V介入,相反一些嵌入式设备比如空调、冰箱、扫地机器人、电动车等等发展环境更好。

第二,RISC-V是否永远免费。

RISC-V源于2010年,加州大学伯克利分校的一个研究团队研发,当时他们因为市场已存在的指令集相当复杂,且成本和门槛太高,所以建立了新的指令集。

“开源架构RISC-V将永久免费,成为人类共有财产。相较于X86和ARM架构的高门槛,开源架构RISC-V将带来芯片设计的革命”——RISC-V架构开发者之一Krste Asanovic博士。

这是RISC-V架构开发者的原话,表明该指令集是完全开源免费的,到目前为止他们也很好的履行了,甚至把基金会总部搬离美国,迁移至瑞士(永久中立国)以防止美国地方政策的限制。

尽管RISC-V从表现来看做得很优秀,但抽丝剥茧,终究还是有隐患在的。

实现RISC-V指令级架构的处理器内核有很多个不同的微架构实现,而微架构实际的模式是分不同类型的,其中有开放的、需授权的以及封闭的。

虽然基于RISC-V开发CPU不需要支付授权费用,但如果直接用RISC-V内核设计,也是需要支付授权费的。通俗来说就是你用我不需要收费,但是想在它的基础上设计得经过我同意,甚至收费(我们目前是全免费,但我有权利在以后收些钱)。

总结来说,目前全球的指令集呈现以X86、ARM、RISC-V三足鼎立的局势,RISC-V作为新时代的弄潮儿得到了各大厂商的认可,有发展的空间,但它不足以撼动其他两个指令集的地位,不过可以预料到的是,等RISC-V成长起来,仍然有可能对我国CPU发展卡脖子,我们需要保持隐患意识,在跟随洋人步伐的同时,发展自身CPU业务。

纵观国内厂商在电脑CPU领域,龙芯以自研为主,开发属于中国的指令集,目前已经可以满足一些党政领域以及机密工作的需求,但打入家用电脑领域仍需要提升CPU的生态和性能;服务器CPU中,申威在超算上小有成绩;华为近期也有消息称完成40nm去美化工作线投产,在明年更将攻破20nm的工作线,麒麟可能会重新归来;一些未上市公司如芯来 科技 、平头哥等也有在尝试RISC-V领域。

种种迹象都在证明,虽然我们起步慢了30年之久,但国产CPU一直在突破,路途艰辛却一路披荆斩,长夜漫漫,但黎明终将到来。

全文由各种资料查证,如有专业领域上的错误,希望可以抛砖引玉,有所探讨。

芯片全产业链图(绿底已经写完)

今天在后台回复『硬核干货』,主编送你一个 财经 知识锦囊。

(特别说明:文章中的数据和资料来自于公司财报、券商研报、行业报告、企业官网、百度百科等公开资料,本报告力求内容、观点客观公正,但不保证其准确性、完整性、及时性等。文章中的信息或观点不构成任何投资建议,投资人须对任何自主决定的投资行为负责,本人不对因使用本文内容所引发的直接或间接损失负任何责任。)

过去几十年,全球半导体行业增长主要受台式机、笔记本电脑和无线通信产品等尖端电子设备的需求,以及基于云计算兴起的推动。这些增长将继续为高性能计算市场领域开发新应用程序。

首先,5G将让数据量呈指数级增长。我们需要越来越多的服务器来处理和存储这些数据。2020年Yole报告,这些服务器核心的高端CPU和GPU的复合年增长率有望达到29%。它们将支持大量的数据中心应用,比如超级计算和高性能计算服务。在云 游戏 和人工智能等新兴应用的推动下,GPU预计将实现更快增长。例如,2020年3月,互联网流量增长了近50%,法兰克福的商业互联网数据交换创下了数据吞吐量超过每秒9.1兆兆位的新世界纪录。

第二个主要驱动因素是移动SoC——智能手机芯片。这个细分市场增长虽然没有那么快, 但这些SoC在尺寸受限的芯片领域对更多功能的需求,将推动进一步技术创新。

除了逻辑、内存和3D互联的传统维度扩展之外,这些新兴应用程序将需要利用跨领域的创新。这需要在器件、块和SoC级别进行新模块、新材料和架构的改变,以实现在系统级别的效益。我们将这些创新归纳为半导体技术的五大发展趋势。

趋势一:摩尔定律还有用,将为半导体技术续命8到10年…

在接下来的8到10年里,CMOS晶体管的密度缩放将大致遵循摩尔定律。这将主要通过EUV模式和引入新器件架构来实现逻辑标准单元缩放。

在7nm技术节点上引入了极紫外(EUV)光刻,可在单个曝光步骤中对一些最关键的芯片结构进行了设计。在5nm技术节点之外(即关键线后端(BEOL)金属节距低于28-30nm时),多模式EUV光刻将不可避免地增加了晶圆成本。最终,我们希望高数值孔径(High-NA) EUV光刻技术能够用于行业1nm节点的最关键层上。这种技术将推动这些层中的一些多图案化回到单图案化,从而提供成本、产量和周期时间的优势。

Imec对随机缺陷的研究对EUV光刻技术的发展具有重要意义。随机打印故障是指随机的、非重复的、孤立的缺陷,如微桥、局部断线、触点丢失或合并。改善随机缺陷可使用低剂量照射,从而提高吞吐量和成本。

为了加速高NA EUV的引入,我们正在安装Attolab,它可以在高NA EUV工具面世之前测试一些关键的高NA EUV材料(如掩膜吸收层和电阻)。目前Attolab已经成功地完成了第一阶段安装,预计在未来几个月将出现高NA EUV曝光。

除了EUV光刻技术的进步之外,如果没有前沿线端(FEOL)设备架构的创新,摩尔定律就无法延续。如今,FinFET是主流晶体管架构,最先进的节点在6T标准单元中有2个鳍。然而,将鳍片长度缩小到5T标准单元会导致鳍片数量减少,标准单元中每个设备只有一个鳍片,导致设备的单位面积性能急剧下降。这里,垂直堆叠纳米薄片晶体管被认为是下一代设备,可以更有效地利用设备占用空间。另一个关键的除垢助推器是埋地动力轨(BPR)。埋在芯片的FEOL而不是BEOL,这些BPR将释放互连资源路由。

将纳米片缩放到2nm一代将受到n-to-p空间约束的限制。Imec设想将Forksheet作为下一代设备。通过用电介质墙定义n- p空间,轨道高度可以进一步缩放。与传统的HVH设计相反,另一个有助于提高路由效率的标准单元架构发展是针对金属线路的垂直-水平-垂直(VHV)设计。最终通过互补场效应晶体管(CFET)将标准cell缩小到4T,之后充分利用cell层面上的第三维度,互补场效应晶体管通过将n-场效应晶体管与p-场效应晶体管折叠。

趋势2: 在固定功率下,逻辑性能的提高会慢下来

有了上述的创新,我们期望晶体管密度能遵循摩尔所规划的路径。但是在固定电源下,节点到节点的性能改进——被称Dennard缩放比例定律,Dennard缩放比例定律(Dennard scaling)表明,随着晶体管变得越来越小,它们的功率密度保持不变,因此功率的使用与面积成比例;电压和电流的规模与长度成比例。

世界各地的研究人员都在寻找方法来弥补这种减速,并进一步提高芯片性能。上述埋地电力轨道预计将提供一个性能提高在系统水平由于改进的电力分配。此外,imec还着眼于在纳米片和叉片装置中加入应力,以及提高中线的接触电阻(MOL)。

二维材料如二硫化钨(WS2)在通道中有望提高性能,因为它们比Si或SiGe具有更强的栅长伸缩能力。其中基于2d的设备架构包括多个堆叠的薄片非常有前景,每个薄片被一个栅极堆叠包围并从侧面接触。模拟表明,这些器件在1nm节点或更大节点上比纳米片的性能更好。为了进一步改善这些器件的驱动电流,我们着重改善通道生长质量,在这些新材料中加入掺杂剂和提高接触电阻。我们试图通过将物理特性(如生长质量)与电气特性相关联来加快这些设备的学习周期。

除了FEOL, 走线拥挤和BEOL RC延迟,这些已经成为性能改善的重要瓶颈。为了提高通径电阻,我们正在研究使用Ru或Mo的混合金属化。我们预计半镶嵌(semi-damascene)金属化模块可同时改善紧密距金属层的电阻和电容。半镶嵌(semi-damascene) 可通过直接模式和使用气隙作为介电在线路之间(控制电容增加)

允许我们增加宽高比的金属线(以降低电阻)。同时,我们筛选了各种替代导体,如二元合金,它作为‘good old’ Cu的替代品,以进一步降低线路电阻。

趋势3:3D技术使更多的异构集成成为可能

在工业领域,通过利用2.5D或3D连接的异构集成来构建系统。这些有助于解决内存问题,可在受形状因素限制的系统中添加功能,或提高大型芯片系统的产量。随着逻辑PPAC(性能-区域-成本)的放缓,SoC 的智能功能分区可以提供另一个缩放旋钮。一个典型的例子是高带宽内存栈(HBM),它由堆叠的DRAM芯片组成,这些芯片通过短的interposer链路直接连接到处理器芯片,例如GPU或CPU。最典型的案例是Intel Lakefield CPU上的模对模堆叠, AMD 7nm Epyc CPU。在未来,我们希望看到更多这样的异构SOC,它是提高芯片性能的最佳桥梁。

在imec,我们通过利用我们在不同领域(如逻辑、内存、3D…)所进行的创新,在SoC级别带来了一些好处。为了将技术与系统级别性能联系起来,我们建立了一个名为S-EAT的框架(用于实现高级技术的系统基准测试)。这个框架可评估特定技术对系统级性能的影响。例如:我们能从缓存层次结构较低级别的片上内存的3D分区中获益吗?如果SRAM被磁存储器(MRAM)取代,在系统级会发生什么?

为了能够在缓存层次结构的这些更深层次上进行分区,我们需要一种高密度的晶片到晶片的堆叠技术。我们已经开发了700nm间距的晶圆-晶圆混合键合,相信在不久的将来,键合技术的进步将使500nm间距的键合成为可能。

通过3D集成技术实现异质集成。我们已经开发了一种基于sn的微突起互连方法,互连间距降低到7µm。这种高密度连接充分利用了透硅通孔技术的潜力,使>16x更高的三维互联密度在模具之间或模具与硅插接器之间成为可能。这样就大大降低了对HBM I/O接口的SoC区域需求(从6 mm2降至1 mm2),并可能将HBM内存栈的互连长度缩短至多1 mm。使用混合铜键合也可以将模具直接与硅结合。我们正在开发3µm间距的模具到晶圆的混合键合,它具有高公差和放置精度。

由于SoC变得越来越异质化,一个芯片上的不同功能(逻辑、内存、I/O接口、模拟…)不需要来自单一的CMOS技术。对不同的子系统采用不同的工艺技术来优化设计成本和产量可能更有利。这种演变也可以满足更多芯片的多样化和定制化需求。

趋势4:NAND和DRAM被推到极限非易失性存储器正在兴起

内存芯片市场预测显示,2020年内存将与2019年持平——这一变化可能部分与COVID-19减缓有关。2021年后,这个市场有望再次开始增长。新兴非易失性存储器市场预计将以>50%的复合年增长率增长,主要受嵌入式磁随机存取存储器(MRAM)和独立相变存储器(PCM)的需求推动。

NAND存储将继续递增,在未来几年内可能不会出现颠覆性架构变化。当今最先进的NAND产品具有128层存储能力。由于晶片之间的结合,可能会产生更多的层,从而使3D扩展继续下去。Imec通过开发像钌这样的低电阻字线金属,研究备用存储介质堆,提高通道电流,并确定控制压力的方法来实现这一路线图。我们还专注于用更先进的FinFET器件取代NAND外围的平面逻辑晶体管。我们正在 探索 3D FeFET与新型纤锌矿材料,作为3D NAND替代高端存储应用。作为传统3D NAND的替代品,我们正在评估新型存储器的可行性。

对于DRAM,单元缩放速度减慢,EUV光刻可能需要改进图案。三星最近宣布EUV DRAM产品将用于10nm (1a)级。除了 探索 EUV光刻用于关键DRAM结构的模式,imec还为真正的3D DRAM解决方案提供了构建模块。

在嵌入式内存领域,我通过大量的努力来理解并最终拆除所谓的内存墙,CPU从DRAM或基于SRAM的缓存中访问数据的速度有多快?如何确保多个CPU核心访问共享缓存时的缓存一致性?限制速度的瓶颈是什么? 我们正在研究各种各样的磁随机存取存储器(MRAM),包括自旋转移转矩(STT)-MRAM,自旋轨道转矩(SOT)-MRAM和电压控制磁各向异性(VCMA)-MRAM),以潜在地取代一些传统的基于SRAM的L1、L2和L3缓存(图4)。每一种MRAM存储器都有其自身的优点和挑战,并可能通过提高速度、功耗和/或内存密度来帮助我们克服内存瓶颈。为了进一步提高密度,我们还在积极研究可与磁隧道结相结合的选择器,这些是MRAM的核心。

趋势5:边缘人工智能芯片行业崛起

边缘 AI预计在未来五年内将实现100%的增长。与基于云的人工智能不同,推理功能是嵌入在位于网络边缘的物联网端点(如手机和智能扬声器)上的。物联网设备与一个相对靠近边缘服务器进行无线通信。该服务器决定将哪些数据发送到云服务器(通常是时间敏感性较低的任务所需的数据,如重新培训),以及在边缘服务器上处理哪些数据。

与基于云的AI(数据需要从端点到云服务器来回移动)相比,边缘 AI更容易解决隐私问题。它还提供了响应速度和减少云服务器工作负载的优点。想象一下,一辆需要基于人工智能做出决定的自动 汽车 。由于需要非常迅速地做出决策,系统不能等待数据传输到服务器并返回。考虑到通常由电池供电的物联网设备施加的功率限制,这些物联网设备中的推理引擎也需要非常节能。

今天,商业上可用的边缘 AI芯片,加上快速GPU或ASIC,可达到1-100 Tops/W运算效率。对于物联网的实现,将需要更高的效率。Imec的目标是证明推理效率在10.000个Tops /W。

通过研究模拟内存计算架构,我们正在开发一种不同的方法。这种方法打破了传统的冯·诺伊曼计算模式,基于从内存发送数据到CPU(或GPU)进行计算。使用模拟内存计算,节省了来回移动数据的大量能量。2019年,我们演示了基于SRAM的模拟内存计算单元(内置22nm FD-SOI技术),实现了1000Tops/W的效率。为了进一步提高到10.000Tops/W,我们正在研究非易失性存储器,如SOT-MRAM, FeFET和基于IGZO(铟镓锌氧化物)的存储器。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/8477260.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-16
下一篇 2023-04-16

发表评论

登录后才能评论

评论列表(0条)

保存