国产十五家主要半导体设备厂商介绍

国产十五家主要半导体设备厂商介绍,第1张

前些天,我国本土半导体设备传来好消息,中微半导体设备(上海)有限公司自主研制的5nm等离子体刻蚀机经台积电验证,性能优良,将用于全球首条5nm制程生产线。刻蚀机是芯片制造的关键装备之一,中微突破关键核心技术,让“中国制造”跻身刻蚀机国际第一梯队。

近年来,我国大陆半导体设备企业一直在努力追赶国际先进脚步。在多种设备领域有一定突破,除了上述中微半导体的5nm等离子体刻蚀机之外,有越来越多的产品可应用于14nm、7nm制程。

但是,国内设备与国外先进设备相比仍有较大差距,主要表现在两方面:一是有一定竞争力的产品在领先制程上的差距;二是部分产品完全没有竞争能力或尚未布局,比如国内光刻机落后许多代际,仅能达到90nm的光刻要求,国内探针台也处于研发阶段,尚未实现销售收入。

那么,在国家的扶持下,经过这么多年的发展,我国本土半导体设备各个细分领域的发展情况如何呢?相关企业都有哪些?发展到了什么程度呢?下面就来梳理一下。

   北方华创

北方华创由七星电子和北方微电子战略重组而成。七星甴子主营清洗机、氧化炉、 气体质量控制器(MFC)等半导体装备及精密甴子元器件等业务,此外七星甴子还是国内真空设备、 新能源锂甴装备重要供应商。北方微甴子主营刻蚀设备(Etch)、物理气相沉积设备(PVD)、化学气相沉积设备(CVD)三类设备。

2010 年 3 月,七星甴子在深交所上市。 2016 年 8 月,七星甴子与北方微甴子实现战略重组,成为中国规模最大、产品体系最丰富、涉及领域最广的高端半导体工艺设备供应商,开成功引迚国家集成甴路产业基金(大基金)等战略投资者,实现了产业与资本的融合。 公司实际控制人是北京甴控,隶属于国资委。

2017 年 2 月,七星甴子正式更名为北方华创 科技 集团股仹有限公司,完成了内部整合,推出全新品牉“北方华创”,开形成了半导体装备、真空装备、新能源锂甴装备和高精密甴子元器件四大业务板块加集团总部的“4+1”经营管理模式。

北方华创的半导体装备亊业群主要包括刻蚀机、 PVD、 CVD、氧化炉、扩散炉、清洗机及质量流量控制器(MFC)等 7 大类半导体设备及零部件,面向集成甴路、先进封装等 8 个应用领域,涵盖了半导体生产前段工艺制程中的除光刻机外的大部分兲键装备。 客户包括中芯国际、华力微甴子、长江存储等国内一线半导体制造企业,以及长甴 科技 、 晶斱 科技 、华天 科技 等半导体封装厂商。

重组之后,北方华创业绩快速增长。2017 年实现营业收入 22.23 亿元,同比增长37.01%,归母净利润 1.26 亿元,同比增长 35.21%。 根据公司 2018 年半年报业绩快报,2018 年上半年公司实现营业收入13.95 亿元,同比增长 33.44%, 归母净利润 1.19 亿元,同比增长 125.44%。 随着下游晶圆厂投资加速, 公司半导体设备等觃模持续扩张。

长川 科技

长川 科技 是国内集成电路封装测试、晶圆制造及芯片设计环节测试设备主要供应商。 半导体测试设备主要包括分选机、 测试机和探针台三大类。自2008年4月成立以来,该公司率先实现了半导体测试设备(分选机和测试机) 的国产化, 并获得国内外众多一流集成电路企业的使用和认可。

该公司于 2012 年 2 月承担并完成国家“十二五”规划重大专项“极大规模集成电路制造装备及成套工艺”中的高端封装设备与材料应用工程项目,并于 2015 年 3 月获得国家集成电路产业基金投资。

该公司的测试机和分选机在核心性能指标上已达到国内领先、接近国外先进水平,同时售价低于国外同类型号产品,具备较高的性价比优势。 公司产品已进入国内主流封测企业, 如天水华天、 长电 科技 、 杭州士兰微、 通富微电等。 2017 年,该公司对外积极开拓市场, 设立台湾办事处,拓展台湾市场。

2013~2017年,长川 科技 营收实现了由 4,341 万元到 1.80 亿元的跨越,复合增速达39.75%。 2017 年,归属母公司净利润由992万元增长至 5,025 万元, 复合增速达31.48%。

中微半导体

中微半导体成立于 2004 年,是一家微加工高端设备公司, 经营范围包括研发薄膜制造设备和等离子体刻蚀设备、大面积显示屏设备等。该公司管理层技术底蕴深厚,大多有任职于应用材料、LAM和英特尔等全球半导体一流企业的经验。

中微半导体先后承担并圆满完成 65-45 纳米、 32-22 纳米、22-14 纳米等三项等离子介质刻蚀设备产品研制和产业化。 公司自主研发的等离子体刻蚀设备 Primo D-RIE 可用于加工 64/45/28 纳米氧化硅、氮化硅等电介质材料,介质刻蚀设备 Primo AD-RIE 可用于 22nm 及以下芯片加工,均已进入国内先进产线。中微半导体的介质刻蚀机已经完成了5nm 的生产。

晶盛机电

晶盛机电是一家专业从事半导体、光伏设备研发及制造的高新技术企业,是国内技术领先的晶体硅生长设备供应商。该公司专注于拥有自主品牌的晶体硅生长设备及其控制系统的研发、制造和销售,先后开发出拥有完全自主知识产权的直拉式全自动晶体生长炉、铸锭多晶炉产品。

该公司立足于“提高光电转化效率、降低发电成本”的光伏技术路线,实现了硅晶体生长“全自动、高性能、高效率、低能耗”国内领先、国际先进的技术优势。全自动单晶炉系列产品和 JSH800 型气致冷多晶炉产品分别被四部委评为国家重点新产品。同时公司积极向光伏产业链装备进行延伸,2015 年成功开发并销售了新一代单晶棒切磨复合一体机、单晶硅棒截断机、多晶硅块研磨一体机、多晶硅块截断机等多种智能化装备,并布局高效光伏电池装备和组件装备的研发。

该公司的晶体生长设备特别是单晶硅生长炉销售形势较好,主要是单晶光伏的技术路线获得认可,随着下游厂商的扩产,单晶的渗透率也逐步提升,带来对单晶硅生长炉的需求增加,该类产品收入已经占营业收入的 81%。

该公司主营业务伴随国内光伏产业的上升发展,给主营业务收入和利润带来显着增长,近两年的增长率均在 80%以上,另外,其毛利率水平和净利率水平也基本维持稳定。

上海微电子

上海微电子装备有限公司成立于2002年,主要致力于大规模工业生产的投影光刻机研发、生产、销售与服务,该公司产品可广泛应用于IC制造与先进封装、MEMS、TSV/3D、TFT-OLED等制造领域。

   该公司主要产品包括:

600扫描光刻机系列—前道IC制造

基于先进的扫描光刻机平台技术,提供覆盖前道IC制造90nm节点以上大规模生产所需,包含90nm、130nm和280nm等不同分辨率节点要求的ArF、KrF及i-line步进扫描投影光刻机。该系列光刻机可兼容200mm和300mm硅片。

500步进光刻机系列—后道IC、MEMS制造

基于先进的步进光刻机平台技术,提供覆盖后道IC封装、MEMS/NEMS制造的步进投影光刻机。该系列光刻机采用高功率汞灯的ghi线作为曝光光源,其先进的逐场调焦调平技术对薄胶和厚胶工艺,以及TSV-3D结构等具有良好的自动适应性,并通过采用具有专利的图像智能识别技术,无需专门设计特殊对准标记。该系列设备具有高分辨率、高套刻精度和高生产率等一系列优点,可满足用户对设备高性能、高可靠性、低使用成本(COO)的生产需求。

200光刻机系列—AM-OLED显示屏制造

200系列投影光刻机综合采用先进的步进光刻机平台技术和扫描光刻机平台技术,专用于新一代AM-OLED显示屏的TFT电路制造。该系列光刻机不仅可用于基板尺寸为200mm × 200mm的工艺研发线,也可用于基板尺寸为G2.5(370mm × 470mm)和G4.5(730mm × 920mm)的AM-OLED显示屏量产线。

硅片边缘曝光机系列——芯片级封装工艺应用

SMEE开发的硅片边缘曝光机提供了满足芯片级封装工艺中对硅片边缘进行去胶处理的能力,设备可按照客户要求配置边缘曝光宽度、硅片物料接口形式、曝光工位等不同形式。设备同时兼容150mm、200mm和300mm等三种不同规格的硅片,边缘曝光精度可到达0.1mm。设备配置了高功率光源,具有较高的硅片面照度,提高了设备产率。

至纯 科技

至纯 科技 成立于 2000 年, 主要为电子、生物医药及食品饮料等行业的先进制造业企业提供高纯工艺系统的整体解决方案, 产品为高纯工艺设备和以设备组成的高纯工艺系统,覆盖设计、加工制造、安装以及配套工程、检测、厂务托管、标定和维护保养等增值服务。

该公司在 2016年前产品约一半收入来自医药类行业,光伏、 LED 行业及半导体行业收入占比较小。 2016年以来,公司抓住半导体产业的发展机遇,逐步扩大其产品在半导体领域的销售占比, 2016和 2017 年来自半导体领域收入占公司营业收入比重分别为 50%和 57%,占据公司营业收入半壁江山。主攻半导体清洗设备。

该公司于 2015 年开始启动湿法工艺装备研发, 2016 年成立院士工作站, 2017 年成立独立的半导体湿法事业部至微半导体,目前已经形成了 UltronB200 和 Ultron B300 的槽式湿法清洗设备和 Ultron S200 和 Ultron S300 的单片式湿法清洗设备产品系列, 并取得 6 台的批量订单。

   精测电子

武汉精测电子技术股份有限公司创立于 2006 年 4 月,并于 2016 年 11 月在创业板上市。公司主要从事平板显示检测系统的研发、生产与销售,在国内平板显示测试领域处于绝对领先地位, 主营产品包括:模组检测系统、面板检测系统、OLED 检测系统、AOI光学检测系统和平板显示自动化设备。近几年来,该公司积极对外投资,设立多家子公司,业务规模迅速扩张,进一步完善了产业布局。

该公司成立初期主要专注于基于电讯技术的信号检测,是国内较早开发出适用于液晶模组生产线的 3D 检测、基于 DP 接口的液晶模组生产线的检测和液晶模组生产线的 Wi-Fi 全无线检测产品的企业,目前该公司的 Module 制程检测系统的产品技术已处于行业领先水平。

2014 年,精测电子积极研发 AOI 光学检测系统和平板显示自动化设备,引进了宏濑光电和台湾光达关于 AOI 光学检测系统和平板显示自动化设备相关的专利等知识产权,使其在 Array制程和 Cell 制程的检测形成自有技术,初步形成了“光、机、电”技术一体化的优势。

精测电子2018年上半年财务报告显示,该公司收入主要来自 AOI 光学检测系统业务,占比 45.49%,毛利占比 41.94%;其次是模组检测系统业务,收入占比 23.33%,毛利占比 27.68%; OLED 检测系统和平面显示自动化设备收入占比分别为 14.29%和12.30%,毛利占比为 14.26%和 10.28%。

   电子 科技 集团45所

中国电子 科技 集团公司第45研究所创立于1958年,2010年9月,中央机构编制委员会办公室批准45所第一名称更改为“北京半导体专用设备研究所”,第二名称仍保持“中国电子 科技 集团公司第四十五研究所”不变。

45所是国内专门从事军工电子元器件关键工艺设备技术、设备整机系统以及设备应用工艺研究开发和生产制造的国家重点军工科研生产单位。

45所以光学细微加工和精密机械与系统自动化为专业方向,以机器视觉技术、运动控制技术、精密运动工作台与物料传输系统技术、精密零部件设计优化与高效制造技术、设备应用工艺研究与物化技术、整机系统集成技术等六大共性关键技术为支撑,围绕集成电路制造设备、半导体照明器件制造设备、光伏电池制造设备、光电组件制造和系统集成与服务等五个重点技术领域,开发出了电子材料加工设备、芯片制造设备、光/声/电检测设备、化学处理设备、先进封装设备、电子图形印刷设备、晶体元器件和光伏电池等八大类工艺设备和产品,服务于集成电路、光电元器件与组件、半导体照明和太阳能光伏电池四大行业.

   上海睿励

睿励科学仪器(上海)有限公司是于2005年创建的合资公司,致力于研发、生产和销售具有自主知识产权的集成电路生产制造工艺装备产业中的工艺检测设备。主要生产用于65/28/14nm制程工艺控制的膜厚测量设备。

沈阳芯源

沈阳芯源微电子设备有限公司成立于2002年,由中科院沈阳自动化研究所引进国外先进技术投资创建。

芯源公司自主开发的单片匀胶机、显影机、喷胶机、去胶机、清洗机、湿法刻蚀机等设备广泛应用于半导体、先进封装、MEMS、LED等领域。

1.LED领域匀胶显影机:应用于LED芯片制造、PSS(图形化衬底)、MEMS、HCPV(高聚光型太阳能电池)、Waveguide(光波导)工艺的匀胶显影等工艺制程。

2.高端封装全自动涂胶显影机:广泛应用于先进封装BGA、Flip-Chip、WSP、CSP制程的高黏度PR、PI、Epoxy的涂敷、显影工艺制程。

3.高端封装全自动喷雾式涂胶机: 广泛应用于TSV、MEMS、WLP等工艺制程。

4.单片湿法刻蚀机/去胶机/清洗机:广泛应用于先进封装BGA、Flip-Chip、WSP、CSP制程的刻蚀、去胶、清洗工艺制程。

5.前道堆叠式全自动涂胶显影机:应用于90nm光刻工艺、BARC涂覆、SOC、SOD、SOG等工艺制程。

   盛美半导体

盛美半导体(ACM Research)是国内半导体清洗设备主要供应商,于1998年在美国硅谷成立,主要研发电抛光技术,2006 年成立上海子公司,专注于半导体清洗设备。2017年11月4日公司在美国纳斯达克上市。2017年公司营业收入3650万美元,同比增长33.2%,其中90%以上的营业收入来自于半导体清洗设备。2017 年研发投入占营业收入比例为14.1%。

由于声波清洗可能会造成晶片损伤,行业公司大多转向研发其他技术,盛美半导体另辟蹊径研发出空间交变相移兆声波清洗(SAPS)和时序能激气泡震荡兆声波清洗(TEBO)两项专利技术,可以实现无伤清洗。公司的清洗设备目前已经进入 SK 海力士、长江存储和上海华力等先进产线。

   天津华海清科

天津华海清科机电 科技 有限公司成立于2013年,是天津市政府与清华大学践行“京津冀一体化”国家战略,为推动我国化学机械抛光(CMP)技术和设备产业化成立的高 科技 企业。

华海清科主要从事CMP设备和工艺及配套耗材的研发、生产、销售与服务,核心团队成员来自清华大学摩擦学国家重点实验室及业内专业人才,产品可广泛应用于极大规模集成电路制造、封装、微机电系统制造、晶圆平坦化、基片制造等领域。

中电科装备

中电科电子装备集团有限公司成立于2013年,是在中国电子 科技 集团公司2所、45所、48所基础上组建成立的二级成员单位,属中国电子 科技 集团公司独资公司,注册资金21亿元,该公司是我国以集成电路制造装备、新型平板显示装备、光伏新能源装备以及太阳能光伏产业为主的科研生产骨干单位,具备集成电路局部成套和系统集成能力以及光伏太阳能产业链整线交钥匙能力。

多年来,利用自身雄厚的科研技术和人才优势,形成了以光刻机、平坦化装备(CMP)、离子注入机、电化学沉积设备(ECD)等为代表的微电子工艺设备研究开发与生产制造体系,涵盖材料加工、芯片制造、先进封装和测试检测等多个领域;通过了ISO9001、GJB9001A、UL、CE、TüV、NRE等质量管理体系与国际认证。

   沈阳拓荆

沈阳拓荆 科技 有限公司成立于2010年4月,是由海外专家团队和中科院所属企业共同发起成立的国家高新技术企业。拓荆公司致力于研究和生产薄膜设备,两次承担国家 科技 重大专项。2016年、2017年连续两年获评“中国半导体设备五强企业”。

该公司拥有12英寸PECVD(等离子体化学气相沉积设备)、ALD(原子层薄膜沉积设备)、3D NAND PECVD(三维结构闪存专用PECVD设备)三个完整系列产品,技术指标达到国际先进水平。产品广泛应用于集成电路前道和后道、TSV封装、光波导、LED、3D-NAND闪存、OLED显示等高端技术领域。

   华海清科

天津华海清科机电 科技 有限公司成立于2013年,是天津市政府与清华大学践行“京津冀一体化”国家战略,为推动我国化学机械抛光(CMP)技术和设备产业化成立的高 科技 企业。

华海清科主要从事CMP设备和工艺及配套耗材的研发、生产、销售与服务,核心团队成员来自清华大学摩擦学国家重点实验室及业内专业人才,产品可广泛应用于极大规模集成电路制造、封装、微机电系统制造、晶圆平坦化、基片制造等领域。

以上就是我国大陆地区的主要半导体设备生产企业。

随着我国半导体产业的快速发展,对半导体设备的需求量越来越大,而本土半导体设备企业面临着供给与需求错配的情况。一方面,国内的半导体设备需求随着下游产线的扩张而迅速增加,大陆的半导体设备需求占全球半导体设备需求的比重较高;但另一方面,本土的设备供给存在着水平较为落后,国产化率不高的情况。

针对这一情形,在国家的大力支持下,国内设备企业需要积极布局,以在各细分设备领域实现突破。

导 读 ( 文/ ittbank 授权发布 )

集成电路作为半导体产业的核心,市场份额达83%,由于其技术复杂性,产业结构高度专业化。随着产业规模的迅速扩张,产业竞争加剧,分工模式进一步细化。

目前市场产业链为IC设计、IC制造和IC封装测试。

○ 在核心环节中,IC设计处于产业链上游,IC制造为中游环节,IC封装为下游环节。

○ 全球集成电路产业的产业转移,由封装测试环节转移到制造环节,产业链里的每个环节由此而分工明确。

○ 由原来的IDM为主逐渐转变为Fabless+Foundry+OSAT。

▲全球半导体产业链收入构成占比图

① 设计:

细分领域具备亮点,核心关键领域设计能力不足。从应用类别(如:手机到 汽车 )到芯片项目(如:处理器到FPGA),国内在高端关键芯片自给率几近为0,仍高度仰赖美国企业;

② 设备:

自给率低,需求缺口较大,当前在中端设备实现突破,初步产业链成套布局,但高端制程/产品仍需攻克。中国本土半导体设备厂商只占全球份额的1-2%,在关键领域如:沉积、刻蚀、离子注入、检测等,仍高度仰赖美国企业;

③ 材料:

在靶材等领域已经比肩国际水平,但在光刻胶等高端领域仍需较长时间实现国产替代。全球半导体材料市场规模443 亿美金,晶圆制造材料供应中国占比10%以下,部分封装材料供应占比在30%以上。在部分细分领域上比肩国际领先,高端领域仍未实现突破;

④ 制造:

全球市场集中,台积电占据60%的份额,受贸易战影响相对较低。大陆跻身第二集团,全球产能扩充集中在大陆地区。代工业呈现非常明显的头部效应,在全球前十大代工厂商中,台积电一家占据了60%的市场份额。此行业较不受贸易战影响;

⑤ 封测:

最先能实现自主可控的领域。封测行业国内企业整体实力不俗,在世界拥有较强竞争力,长电+华天+通富三家17 年全球整体市占率达19%,美国主要的竞争对手仅为Amkor。此行业较不受贸易战影响。

一、设计

按地域来看,当前全球IC 设计仍以美国为主导,中国大陆是重要参与者。2017 年美国IC设计公司占据了全球约53%的最大份额,IC Insight 预计,新博通将总部全部搬到美国后这一份额将攀升至69%左右。台湾地区IC 设计公司在2017 年的总销售额中占16%,与2010年持平。联发科、联咏和瑞昱去年的IC 销售额都超过了10 亿美元,而且都跻身全球前二十大IC 设计公司之列。欧洲IC 设计企业只占了全球市场份额的2%,日韩地区Fabless 模式并不流行。

与非美国海外地区相比,中国公司表现突出。世界前50 fabless IC 设计公司中,中国公司数量明显上涨,从2009 年1 家增加至2017 年10 家,呈现迅速追赶之势。2017 年全球前十大Fabless IC 厂商中,美国占据7 席,包括高通、英伟达、苹果、AMD、Marvell、博通、赛灵思;中国台湾地区联发科上榜,大陆地区海思和紫光上榜,分别排名第7 和第10。

2017 年全球前十大Fables s IC 设计厂商

(百万美元)

然而,尽管大陆地区海思和紫光上榜,但可以看到的是,高通、博通和美满电子在中国区营收占比达50%以上,国内高端 IC 设计能力严重不足。可以看出,国内对于美国公司在核心芯片设计领域的依赖程度较高。

自中美贸易战打响后,通过“中兴事件”和“华为事件”我们可以清晰的看到,核心的高端通用型芯片领域,国内的设计公司可提供的产品几乎为0。

大陆高端通用芯片与国外先进水平差距主要体现在四个方面:

1)移动处理器的国内外差距相对较小。

紫光展锐、华为海思等在移动处理器方面已进入全球前列。

2)中央处理器(CPU) 是追赶难度最大的高端芯片。

英特尔几乎垄断了全球市场,国内相关企业约有 3-5 家,但都没有实现商业量产,多仍然依靠申请科研项目经费和政府补贴维持运转。龙芯等国内 CPU 设计企业虽然能够做出 CPU 产品,而且在单一或部分指标上可能超越国外 CPU,但由于缺乏产业生态支撑,还无法与占主导地位的产品竞争。

3)存储器国内外差距同样较大。

目前全球存储芯片主要有三类产品,根据销售额大小依次为:DRAM、NAND Flash 以及Nor Flash。在内存和闪存领域中,IDM 厂韩国三星和海力士拥有绝对的优势,截止到2017年,在两大领域合计市场份额分别为75.7%和49.1%,中国厂商竞争空间极为有限,武汉长江存储试图发展 3D Nand Flash(闪存)的技术,但目前仅处于 32 层闪存样品阶段,而三星、英特尔等全球龙头企业已开始陆续量产 64 层闪存产品;在Nor flash 这个约为三四十亿美元的小市场中,兆易创新是世界主要参与厂家之一,其他主流供货厂家为台湾旺宏,美国Cypress,美国美光,台湾华邦。

4)FPGA、AD/DA 等高端通用型芯片,国内外技术悬殊。

这些领域由于都是属于通用型芯片,具有研发投入大,生命周期长,较难在短期聚集起经济效益,因此在国内公司层面发展较为缓慢,甚至有些领域是停滞的。

总的来看,芯片设计的上市公司,都是在细分领域的国内最强。比如2017 年汇顶 科技 在指纹识别芯片领域超越FPC 成为全球安卓阵营最大指纹IC 提供商,成为国产设计芯片在消费电子细分领域少有的全球第一。士兰微从集成电路芯片设计业务开始,逐步搭建了芯片制造平台,并已将技术和制造平台延伸至功率器件、功率模块和MEMS 传感器的封装领域。但与国际半导体大厂相比,不管是高端芯片设计能力,还是规模、盈利水平等方面仍有非常大的追赶空间。

二、设备

目前,我国半导体设备的现况是低端制程实现国产替代,高端制程有待突破,设备自给率低、需求缺口较大。

关键设备技术壁垒高,美日技术领先,CR10 份额接近80%,呈现寡头垄断局面。半导体设备处于产业链上游,贯穿半导体生产的各个环节。按照工艺流程可以分为四大板块——晶圆制造设备、测试设备、封装设备、前端相关设备。其中晶圆制造设备占据了中国市场70%的份额。再具体来说,晶圆制造设备根据制程可以主要分为8 大类,其中光刻机、刻蚀机和 薄膜沉积设备这三大类设备占据大部分的半导体设备市场。同时设备市场高度集中,光刻机、CVD 设备、刻蚀机、PVD 设备的产出均集中于少数欧美日本巨头企业手上。

中国半导体设备国产化率低,本土半导体设备厂商市占率仅占全球份额的1-2%。

关键设备在先进制程上仍未实现突破。目前世界集成电路设备研发水平处于12 英寸7nm,生产水平则已经达到12 英寸14nm;而中国设备研发水平还处于12 英寸14nm,生产水平为12 英寸65-28nm,总的来看国产设备在先进制程上与国内先进水平有2-6 年时间差;具体来看65/55/40/28nm 光刻机、40/28nm 的化学机械抛光机国产化率依然为0,28nm化学气相沉积设备、快速退火设备、国产化率很低。

三、材料

半导体材料发展历程

▲各代代表性材料主要应用

▲第二、三代半导体材料技术成熟度

细分领域已经实现弯道超车,核心领域仍未实现突破,半导体材料主要分为晶圆制造材料和封装材料两大块。晶圆制造材料中,硅片机硅基材料最高占比31%,其次依次为光掩模版14%、光刻胶5%及其光刻胶配套试剂7%。封装材料中,封装基板占比最高,为40%,其次依次为引线框架16%,陶瓷基板11%,键合线15%。

日美德在全球半导体材料供应上占主导地位。各细分领域主要玩家有:硅片——Shin-Etsu、Sumco,光刻胶——TOK、Shipley,电子气体——Air Liquid、Praxair,CMP——DOW、3M,引线架构——住友金属,键合线——田中贵金属、封装基板——松下电工,塑封料——住友电木。

(1)靶材、封装基板、CMP 等,我国技术已经比肩国际先进水平的、实现大批量供货、可以立刻实现国产化。已经实现国产化的半导体材料典例——靶材。

(2)硅片、电子气体、掩模板等,技术比肩国际、但仍未大批量供货的产品。

(3)光刻胶,技术仍未实现突破,仍需要较长时间实现国产替代。

四、制造

晶圆制造环节作为半导体产业链中至关重要的工序,制造工艺高低直接影响半导体产业先进程度。过去二十年内国内晶圆制造环节发展较为滞后,未来在国家政策和大基金的支持之下有望进行快速追赶,将有效提振整个半导体行业链的技术密度。

半导体制造在半导体产业链里具有卡口地位。制造是产业链里的核心环节,地位的重要性不言而喻。统计行业里各个环节的价值量,制造环节的价值量最大,同时毛利率也处于行业较高水平,因为Fabless+Foundry+OSAT 的模式成为趋势,Foundry 在整个产业链中的重要程度也逐步提升,可以这么认为,Foundry 是一个卡口,产能的输出都由制造企业所掌控。

代工业呈现非常明显的头部效应 根据IC Insights 的数据显示,在全球前十大代工厂商中,台积电一家占据了超过一半的市场份额,2017 年前八家市场份额接近90%,同时代工主要集中在东亚地区,美国很少有此类型的公司,这也和产业转移和产业分工有关。我们认为,中国大陆通过资本投资和人才集聚,是有可能在未来十年实现代工超越的。

“中国制造”要从下游往上游延伸,在技术转移路线上,半导体制造是“中国制造”尚未攻克的技术堡垒。中国是个“制造大国”,但“中国制造”主要都是整机产品,在最上游的“芯片制造”领域,中国还和国际领先水平有很大差距。

在从下游的制造向“芯片制造”转移过程中,一定要涌现出一批技术领先的晶圆代工企业。在芯片贸易战打响之时,美国对我国制造业技术封锁和打压首当其冲,我们在努力传承“两d一星”精神,自力更生艰苦创业的同时,如何处理与台湾地区先进企业台积电、联电之间的关系也会对后续发展产生较大的蝴蝶效应。

五、封测

当前大陆地区半导体产业在封测行业影响力为最强,市场占有率十分优秀,龙头企业长电 科技 /通富微电/华天 科技 /晶方 科技 市场规模不断提升,对比台湾地区公司,大陆封测行业整体增长潜力已不落下风,台湾地区知名IC 设计公司联发科、联咏、瑞昱等企业已经将本地封测订单逐步转向大陆同业公司。封测行业呈现出台湾地区、美国、大陆地区三足鼎立之态,其中长电 科技 /通富微电/华天 科技 已通过资本并购运作,市场占有率跻身全球前十(长电 科技 市场规模位列全球第三),先进封装技术水平和海外龙头企业基本同步,BGA、WLP、SiP 等先进封装技术均能顺利量产。

封测行业我国大陆企业整体实力不俗,在世界拥有较强竞争力,美国主要的竞争对手为Amkor 公司,在华业务营收占比约为18%,封测行业美国市场份额一般,前十大封测厂商中,仅有Amkor 公司一家,应该说贸易战对封测整体行业影响较小,从短中长期而言,Amkor 公司业务取代的可能性较高。

封测行业位于半导体产业链末端,其附加价值较低,劳动密集度高,进入技术壁垒较低,封测龙头日月光每年的研发费用占收入比例约为4%左右,远低于半导体IC 设计、设备和制造的世界龙头公司。随着晶圆代工厂台积电向下游封测行业扩张,也会对传统封测企业会构成较大的威胁。

2017-2018 年以后,大陆地区封测(OSAT)业者将维持快速成长,目前长电 科技 /通富微电已经能够提供高阶、高毛利产品,未来的3-5 年内,大陆地区的封测企CAGR增长率将持续超越全球同业。

龙芯CPU是中国人自主研发的计算机处理器,龙芯1号已经量产,基于龙芯1号的网络计算机和其它产品也开始面市并得到应用,性能数倍于龙芯1号的龙芯2号已进行首次流片,可以说龙芯系列无论在产业化方面还是在核心技术突破方面,都一直保持着快速和稳定的节奏。

CPU作为计算机设备的运算和控制核心,负责指令读取、译码与执行,因研发门槛高、生态构建难,被认为是集成电路产业中的“珠穆朗玛峰”。纵观全球,Intel、AMD两大巨头领跑通用CPU(桌面与服务器CPU)市场;国内,国产CPU正处于奋力追赶的关键时期,以飞腾、鲲鹏、海光、龙芯、兆芯、申威等为代表的厂商正全力打造“中国芯”。、回溯中国的国产CPU发展历程,可分为三个阶段:

起步:上世纪50-70年代。1956年,半导体科技被列为国家新技术四大紧急措施之一。此后,中科院计算所、109厂、半导体所先后成立,锗晶体管、硅平面晶体管、集成电路等半导体器件相继实现突破,为109乙机、109丙机、156机的诞生分别提供了基础。1975年,伴随大规模集成电路技术的兴起,我国第一台集成电路百万次计算机013机研制成功。这一时期独立自主的产业发展为我国CPU事业打下了坚实基础。

转折:上世纪80-90年代。1985年,中科院计算所、半导体所有关研制大规模集成电路的单位和109厂合并,成立中科院微电子中心。但这一时期,由于政策支持力度有所减弱等原因,产业完全市场化但自主性不足。

提速:21世纪初至今。从“十五”开始,国产CPU自主性的问题再度提上议程,产业政策不断加码。泰山计划、863计划等催生了一批国产CPU品牌,2002年,我国首款通用CPU——龙芯1号(代号X1A50)流片成功。2006年,“核高基”重大专项推出,“高”即为高端通用CPU。2014年,我国发布《国家集成电路产业发展推动纲要》,国家集成电路产业投资基金(简称国家大基金)第1期成立,主要投资集成电路制造企业。2019年,国家大基金第2期成立,主要投资应用端。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/8663857.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-19
下一篇 2023-04-19

发表评论

登录后才能评论

评论列表(0条)

保存