再见了,EUV光刻机?

再见了,EUV光刻机?,第1张

“本文原创,禁止抄袭,违者必究”

作为全球半导体设备制造巨头的ASML公司,几乎全球的光刻设备都是由它提供的。不仅是DUV光刻机占据着绝大多数的市场份额,在EUV光刻设备上更是只有它造得出来,处于垄断地位。

随着芯片紧缺愈演愈烈,为了缓解芯片紧缺的问题,各个芯片大厂在扩厂生产的需求下争相向ASML订购EUV光刻机。

但随着“芯片规则”的修改和全球公共卫生问题的产生,ASML的光刻设备自由出货受到限制,芯片的制造成本也在不但增加,甚至有些光刻零件供应链开始延迟出货。

在此背景下,越来越多的企业开始寻求其他路径,以求绕过EUV光刻设备,制造出先进制程的芯片。

英特尔就研发出新型 3D 堆叠、多芯片封装技术,该项技术被命名为Foveros Direct。它能够应用于多种芯片混合封装的场景,不仅能够将 CPU、GPU、IO 芯片以相邻或者层叠的方式紧密结合在一起,还能兼容不同厂商的芯片进行混合封装。

2021 年 7 月英特尔更是推出了 RibbonFET 新型晶体管架构,通过将 NMOS芯片 和 PMOS芯片堆叠在一起,在制程不变的情况下,晶体管密度提升了 30% 至 50%。通过这项技术,芯片制程缩小到10nm以下,最多能达到5nm。

日本铠侠公司联合佳能开发出新的NIL(纳米压印微影技术)工艺,它是一种将纳米图案印章转移到晶圆上的技术。

它的工作原理是 基于机械复制的,通过印刷技术与微电子加工工艺相互融合,使用电子束刻蚀的方式,不受光学衍射的限制。能够解决光衍射现象造成的分辨率极限问题,实现让电路线宽变得更窄,理论上来说分辨率会比EUV光刻机要高。

NIL的微影制程相对来说较为单纯,耗电量能比EUV光刻机减少10%,在设备的投资成本上也节省了40%,目前已经可以实现15nm制程的量产并应用到NAND闪存制造上,预计到2025年最高能产出5nm精密度制程的芯片。

适合工业化、低成本且具有高效率的优势让它一经推出就受到业内的重视,越来越多厂家对它感兴趣并进行询问。

俄罗斯初期投入 6.7 亿卢布用于X射线光刻机的制造。目前MIET(俄罗斯莫斯科电子技术学院)已经承接了该项目。根据当地媒体的说法,X射线光刻机性能甚至能与EUV光刻机比肩。

不同于EUV的极紫外技术,俄罗斯自研的光刻机使用的是X射线技术。从光刻设备的发展历程来看,越是高端的光刻设备,波长越短曝光分辨率就越高。

EUV的极紫外波长 为13.5nm, X 射线的波长在 0.01nm 到 10nm 之间,光从波长来看, X 射线光刻机是比EUV光刻机有优势的,不需要光掩模版的直写光刻方式也使成本大为降低。

但X 射线穿透性太强,只能用于直写光刻导致速度太慢,目前MIET面临的最大问题就是在工艺以及效率的提升上。

俄罗斯虽然半导体产业不发达,但在X射线和等离子这方面的技术上有着深厚的基础,要提升工艺实现量产并非不可能。

Chiplet 技术就是我们常听到的“小芯片”技术。目前国际上很多知名企业都在发展“小芯片”技术。

如全球规模最大的芯片代工厂——台积电,就自研出新的3D芯片封装工艺,通过将两枚低制程芯片用先进的3D封装工艺封装在一起,能提升1.5倍的性能。

对于3D封装技术,台积电还在不断进行试验和优化,目前最高已经能产出3nm制程的芯片。只是良品率还不高,成本消耗也更大。但对于能绕开EUV光刻机实现自主生产,就意味着能拥有自主权实现自由出货,这就是值得的。

苹果公司最新推出的M1 Ultra芯片也是将两枚M1芯片并列粘合在一起组成的,经过检测,M1 Ultra芯片的性能甚至比A15还要高出65%。

华为在5G芯片得不到供应之后,也明确表示会采用芯片堆叠技术,用面积换取性能。目前华为已经开发出芯片堆叠封装及终端设备并申请了专利。

在本就是自研芯片的基础上,有了自己的设备,华为要生产出芯片是不难的。

这些企业纷纷进入芯片相关产程自研,就是为了绕开EUV光刻设备的限制实现芯片自由。已经不能自由出货的ASML对各商家来说负面影响是很大的,不仅芯片的增产计划受到影响,可能客户的订单都不能如期交付。

而且,在美方技术的限制下,ASML已经隐隐有被 *** 控的迹象,避免EUV光刻机的限制,也是在挣脱老美在半导体领域的限制。

这也表明,如果ASML无法实现自主出货,终将会被时代抛弃。

对于各个企业纷纷开始自研光刻设备和芯片技术,大家有什么想法呢?欢迎在评论区留下您独到的见解。

光刻机被限制,中芯国际晶圆厂延期,为何不用国产光刻机?

科技铭程

原创

2023-2-18 17:15 · 来自陕西 · 优质科技领域创作者

近日,中芯国际表示:由于难以获得先进的芯片制造设备,其在北京的新工厂落后于预期。

尽管中芯国际没有具体说明是何种设备,但根据推测,被限设备来自ASML的浸没式DUV光刻机。

因为中芯国际于2020年12月被列入实体名单,未经美商务部许可,相关公司不得向实体清单上的公司销售设备和相关零部件。

而这相关公司就包括荷兰ASML。荷兰ASML就是全球光刻机龙头,EUV光刻机的唯一制造商。

那么问题来了,既然买不到ASML光刻机,为什么不使用上海微电子的国产光刻机呢?

ASML的光刻机有多强

ASML中文名为阿斯麦,成立于1984年,总部位于荷兰埃因霍温,是全球最大的半导体设备制造商,也是全球EUV光刻机的唯一制造商。

出货量全球第一

2021年全球光刻机共生产478台,较2020年增长65台,涨幅为15%。其中ASML拿下了309台,占比65%。

中高端市场(DUV光刻机)出货103台,占据93.6%的市场份额,高端EUV光刻机出货42台,全部为ASML制造。

根据预测,2022年全球光刻机出货量将达到510台,ASML继续大幅领先。EUV光刻机出货量超过50台,制造商仍然只有ASML。

从出货量方面可以看出,ASML是妥妥的光刻机龙头,将日本尼康、佳能远远的甩在身后。

销售额全球第一

2021年,全球光刻机市场份额为1076亿,ASML一家就达到了854亿,占比79%。

2023年1月25日,ASML公布了2022年业绩,全年营收1562亿人民币,同比增长13.8%。净利润为412亿人民币,再创新高。

尽管2022年全球光刻机销售额尚未公布,但可以预料的是ASML的销售占比会继续扩大。

技术最强

在技术方面,ASML同样是当仁不让。

所有半导体设备中,EUV光刻机的技术含量是最高的,而它的制造商只有ASML一家,这足以反映出ASML在技术方面的实力。

可能有网友会说了,ASML只不过是组装大厂而已,它的技术含量仅为10%。但是,你千万不要小看了这10%。

ASML在EUV光刻机上的技术主要为极紫外光技术。

EUV光刻机的光源采用了13.5nm的极紫外光,大自然中没有这种光,只能人工制造。

首先要准备一台30KW的大功率激光发射器,可以发射频率高达50000HZ的高频激光。

然后再准备一台设备,这台设备有一个特殊的喷嘴,可以将融化的锡滴直径缩小至20微米左右,相当于“人类头发直径的三分之一”。

最后就是调试,要确保第一束激光准确的击中下落的锡滴,第二束激光再次击中锡滴,激发出极紫外光。

整个过程最难之处就是,持续性的高精度,高准确性。

光源设备最初由美国Cymer公司制造,后来被ASML收购。ASML也成为了极紫外光源技术的垄断者。

产业链最庞大

ASML的产业链也极其庞大,EUV光刻机的零部件高达10万个,仅供应商就近2000家,其中不乏蔡司、东京应化之类的行业巨头。甚至不少企业以进入ASML的供应链为荣。

而下游应用企业包括台积电、三星、英特尔、联电、格芯、中芯国际等众多芯片制造巨头。

我们手机搭载的苹果仿生、高通骁龙、华为麒麟、联发科天玑等等都离不开ASML的光刻机。

在组装方面,ASML同样具有极大的优势。

一台EUV光刻机拥有10万个零部件、4万个螺栓、2公里软管、3000条电缆和数吨重的镜片。这些零部件紧密相连,任何一个部件出现问题,都可能导致整台设备失控。

在进行安装作业时,一台火车驶过,传出10—20Hz的震动,就会导致设备失灵。

因此必须要有一个强大的组装团队。ASML的组装团队高达上万人,仅在中国区就超过了1300人。这些组装人员不仅技术高超,而且每年进行大量的培训。

如今ASML开始打造新一代光刻机——High NA EUV光刻机。

这套光刻机系统的镜片数值孔径将达到0.55 NA,具有更高的分辨率,更高的生产效率。据悉,未来将达到每小时220片晶圆的生产率。届时ASML将会更加强大。

在光刻机领域,ASML过于强大,以至于嚣张的说:“即便把图纸公开,中国也造不出光刻机。”

如今,在美国的压力下,ASML不仅拒绝为内地企业供货EUV光刻机,甚至连DUV光刻机也开始受到限制。

内地芯片代工龙头中芯国际就坦言,由于设备问题导致北京的晶圆厂无法顺利开工。那么为什么中芯国际不使用国产光刻机呢?

国产光刻机水平如何?

国产光刻机龙头是上海微电子设备公司,于2002年3月由上海市政府和中科院牵头成立,目前已经可以量产90nm光刻机。

那么上海微电子与ASML差距有多大呢?

技术差距

上海微电子制造的90nm光刻机,可以生产90nm芯片,重复光刻的话理论上可以生产45nm芯片,但是成品率会大幅下降。而ASML制造的EUV光刻机可以生产3nm芯片。

45nm芯片落后于3nm芯片整整5代,这需要数十年时间的追赶。

在具体核心技术方面,ASML已经掌握了先进的EUV技术,并且拥有大量的专利,而上海微电子却没有。

此外,在组装技术方面,上海微电子只能算得上“入门”,而ASML绝对称得上“专业”,这样的差距也绝非短时间内能够追平的。

生态差距

很多网友认为只有软件、 *** 作系统才有所谓的生态,其实硬件、设备同样有生态。

制造芯片的设备不仅有光刻机,还有涂覆、CVD、检测、清洗等十几种设备,这些设备需要相互配合,共同完成芯片制造。

如果不能匹配,那么整个芯片制造环节的工作量就会增加几倍。

ASML的光刻机广泛的应用在芯片制造领域,早已和各大厂家生产的其他设备相互兼容、相互配合。

此外在硅晶圆、掩膜版、光刻胶、CMP粉浆、高纯度液体、等半导体材料方面,ASML的光刻机同样与之相匹配,这些方面上海微电子仍需努力。

供应链差距

国产光刻机在供应链方面也表现出先天不足。

早在2009年时,上海微电子就研发出了90nm光刻机,并通过了验收,但直到2018年才宣布,这是为什么呢?

因为当时,这批设备采用了德国蔡司的镜头,而当时的蔡司突然接到命令,停止为上海微电子供货。

失去了蔡司供货,国产镜头又达不到要求,只好延迟发布。很多网友觉得一个小小的镜片就能卡住国产光刻机的脖子?

真的不要小看了这小小的镜片,它的数值孔径为0.93NA,分辨率为90nm,平整度要求极高,几十公里的起伏度不能超过一毫米。

为了研发这样的一组镜片,长春国科精密光学用了近10年的时间,才研发成功。

2018年,这组镜片成功安装在了上海微电子的光刻机上,经过测试达到了相关要求。

除了镜片外,还有光源、工作台、精密轴承等近10万个零部件,这些都需要众多的供应商来解决。

据悉,ASML拥有来自欧美日韩等国近2000家供应商,而上海微电子被列入美商务部“实体清单”后,只能采用国产配件,这让其供应链大打折扣。

此外,上海微电子的光刻机在稳定性方面也比不上ASML,而稳定性关系到芯片的良品率。没有人希望自己的芯片在制造时,因为设备问题而报废。

总的来说,国产光刻机在技术、生态、供应链、稳定性等多个方面落后于ASML,要想掌握EUV光刻机技术,追上ASML的水平,还需要很长的时间。

此外,中芯国际北京晶圆厂,制造的芯片是28nm工艺的,只有荷兰ASML和日本尼康的光刻机符合要求。

这就是为什么,中芯国际等国产芯片代工厂不愿意选择国产光刻机的主要原因。

中芯国际如何破解难题?

中芯国际要想解决芯片制造难题,就要融入国产光刻机的产业链。试想一下,大家都不使用上海微电子的光刻机,那如何验证它的水平,发现它的不足之处呢?

上海微电子卖不出设备,也就无法盈利,也就拿不出更多的资金去研发高端光刻机,也就无法解决国产芯片的设备难题。

所以中芯国际在90nm芯片制造环节上,还是要优先选择国产设备。

正视不足、拒绝浮夸

最近网上一直充斥着,上海微电子已经研发出28nm、14nm的光刻机、甚至7nm光刻机也即将量产。

实际上,光源系统、透镜、浸没式、双工作台等核心技术,我们还差距很大。要想完全掌握这些技术还需要付出大量的人力、物力、财力和时间。

同时,我们在稳定性方面也远比不上ASML。这就需要加强我们的售后和组装技术。

心无旁骛、持续研发

搞研发最忌讳心浮气躁,断断续续。一看到能买,就想放弃研发,认为“造不如买”。然而,当你自废武功后,各种打压就会接踵而至。

科技没有捷径,也没有所谓的“弯道超车”,有的只是不断投入、持续研发。甚至是数十年如一日。

政策支持,集中力量办大事

政策支持有多重要呢?我们以日本为例来说。

日本的半导体就得到了美国的支持和授权后,才快速发展起来的。这个支持和授权就来自美国政府,最初目的是扶持日本对抗当年的苏联。

三菱、京都电气拿到了仙童公司的授权后,日本通产省就制定了相关政策,组织NEC、日立、富士通、东芝和三菱电机成立了“超大规模集成电路技术研究组合”。

此后,日本在半导体产业快速的发展,直至超越美国。

1985年,美国就针对日本半导体发起了第一次301调查,并在1986年迫使日本签署了日美半导体协议。

此后,日本半导体行业开始快速下滑,市场份额从53%的高点下降至7%。到今天,全球领先的半导体设计、制造公司已经看不到日本企业的踪影了。

可见,政策对芯片的影响力着实太大了。

如果我们能够出台持续的正确的产业政策,那么国产芯片逆袭超越是迟早的事。

写到最后

光刻机被限,中芯国际斥巨资建造的晶圆厂迟迟不能投产,归根结底就是国产光刻机的落后造成的。

如果我们能够正视自身的不足,加大研发力度,再配以对应的政策,相信国产光刻机技术必会大幅提升。

同时,我们的芯片企业也要支持使用国产光刻机,发现问题,解决问题。

我是科技铭程,欢迎共同讨论!

天降惊喜二选一

阅读惊喜奖励

领金币

种树赚金币

去查看

搜索

国产光刻机最新消息

外媒看哈工大光刻机

中芯国际会造光刻机吗

北京中芯国际最新消息

光刻机

上海微电子

目前全球缺芯愈发严重,华为、高通、台积电、三星、苹果等 科技 巨头,均受到了缺芯的影响,即便如此,西方依然没有放弃对国产 科技 的打压,这让全球半导体产业雪上加霜,供需之间已经严重失衡,为此全球各大半导体企业纷纷选择自给自足,比如欧洲,包括 德国、法国、西班牙等在内的17国组成 欧洲半导体联盟,宣布将拿出 1450亿欧元 ,打造欧洲自己的 芯片和半导体技术;西方打压之下,也让国产半导体产业进入快车道,上层已经明确提出目标要求,五年内国芯实现70%以上的自给率。

即便全球各大半导体企业纷纷宣布自主化,西方依然我行我素,前不久对国产 科技 开启了新一轮打压,将华为在内的59家国产企业打入黑名单,禁止与西方 科技 企业进行交易和投资等,对于华为来说,这已经是两年时间里西方第五轮打压了,作为一家年营收近9000亿元的国产 科技 企业,华为还是凭借自己的技术积累扛下了所有,不可避免的是,失去海思半导体支撑的华为,芯片已经极度短缺,手机、5G、平板等硬件业务,创下了华为史上最大下滑,市场份额也所剩无几。

在西方新规毫无松动,而国产芯片产业帮助有限的情况下,华为只能被迫转型,任正非已经提出了接下来的目标,那就是向鸿蒙、云计算、智能 汽车 等软件业务转型,如今来看,鸿蒙是面向未来的物联网时代,目前还不能给华为带来营收增长,而云计算和智能 汽车 方案则不同,不仅受到西方新规的影响较小,而且立马可以给华为提供营收贡献,余承东也非常看好智能 汽车 业务,明年的目标超越特斯拉,实现30万台智能 汽车 销量。

转型之中的华为,是不是就放弃了硬件业务呢?答案当然是否定的,近日,华为海思依然开启了2021年招聘计划,甚至去海外招聘大量的芯片人才,不仅如此,华为已经通过旗下的哈勃投资了41家国产半导体企业,开启了芯片产业的全面布局,为自建芯片生产线铺路,其中就包括中科院旗下的企业科益虹源,可以这样说,华为通过投资的方式,已经和国产芯片产业形成了一个整体,只要国芯产业实现突破,那么华为将率先用上国产芯。

近日,国芯传来两大喜讯,突破了EUV光刻设备的最后难关,而且均和中科院有关,第一,根据央视的报道,中科院高能物理研究院传来好消息,其承建的中国首台高能同步辐射光源科研设备已于日前开始安装,而且该设备的光源技术研发与测试平台已经进入试运行阶段;第二,也是来自央视的报道,前身为中科院北京科学仪器研制中心的北京中科科仪股份有限公司,日前也传来喜讯,其自研的直线式劳埃透镜镀膜装置,以及纳米聚焦镜镀膜装置,两台装置均已经正式投入使用。

中科院传来的两大喜讯,到底对国产芯片的进展有何影响呢?首先我们先来了解一下7nm及以下高端芯片制造需要的关键设备极 紫外EUV光刻设备,全球目前仅ASML独家限量供应,其三大核心技术包括EUV光源、同步双工件台以及EUV光学镜头,现阶段国产芯片产业在清华大学、华卓精科的技术支撑下,已经掌握EUV光源、同步双工件台,但EUV光学镜头却迟迟未能突破,成为国产高端芯片的最后难关。

随着这三大设备及装置相继投入使用,国芯也将突破EUV光刻设备最后难关的EUV光学镜头,尤其是两大镜镀膜装置,是制造光刻设备光学镜头最重要的设备之一,而且这一次的镜镀膜装置已经突破到0.1纳米工艺水准,逐渐接近全球最领先的EUV光学镜头工艺要求的0.05nm水准,因此造出国产EUV光刻设备只是时间问题,据业内人士分析预测,2025年左右有望实现,这也与TCL李东生的预测基本吻合,其表示国内解决高端芯片问题,至少要三到五年时间。

根据业内人士的分析预测,也就是说,在国产EUV光刻设备正式量产之后,只要其他相关准备工作同步进行,7nm及以下的国产高端芯片,最快有望在2025年左右实现。如果一切能够按照计划顺利进行,对于华为等国产 科技 企业来说,那么也将在2025年左右真正用上国产高端芯,届时华为的硬件业务将全面恢复,西方新规也将正式无效。

针对国产芯片制造的问题,前不久ASML就公开预言称:如果西方一意孤行,限制光刻设备出口,那么三年内我们国内将掌握光刻技术,十五年内彻底解决芯片限制。如今来看,随着中科院突破EUV光学镜头,国芯实现7nm及以下的高端芯片工艺只是时间问题,而且完全不需要15年时间,这也意味着ASML预言不仅成真了,而且将提前完成。

好了,国芯两大喜讯,华为或将用上国产高端芯,中科院突破EUV最后难关,你怎么看呢?


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/9144545.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-25
下一篇 2023-04-25

发表评论

登录后才能评论

评论列表(0条)

保存