半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣

半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣,第1张

台积电开启晶圆代工时代,成为集成电路中最为重要的一个环节。 1987 年,台积电的成立开启了 晶圆代工时代,尤其在得到了英特尔的认证以后,晶圆代工被更多的半导体厂商所接受。晶圆代工 打破了 IDM 单一模式,成就了晶圆代工+IC 设计模式。目前,半导体行业垂直分工成为了主流, 新进入者大多数拥抱 fabless 模式,部分 IDM 厂商也在逐渐走向 fabless 或者 fablite 模式。

全球晶圆代工市场一直呈现快速增长,未来有望持续 。晶圆代工+IC 设计成为行业趋势以后,受益 互联网、移动互联网时代产品的强劲需求,整个行业一直保持快速增长,以台积电为例,其营业收 入从 1991 年的 1.7 亿美元增长到 2019 年的 346 亿美元,1991-2019 年,CAGR 为 21%。2019 年全球晶圆代工市场达到了 627 亿美元,占全球半导体市场约 15%。未来进入物联网时代,在 5G、 人工智能、大数据强劲需求下,晶圆代工行业有望保持持续快速增长。

晶圆代工行业现状:行业呈现寡头集中。 晶圆代工是制造业的颠覆,呈现资金壁垒高、技术难度大、 技术迭代快等特点,也因此导致了行业呈现寡头集中,其中台积电是晶圆代工行业绝对的领导者, 营收占比超过 50%,CR5 约为 90%。

晶圆代工行业资金壁垒高。 晶圆代工厂的资本性支出巨大,并且随着制程的提升,代工厂的资本支 出中枢不断提升。台积电资本支出从 11 年的 443 亿元增长到 19 年的 1094 亿元,CAGR 为 12%。 中芯国际资本性支出从 11 年的 30 亿元增长到了 19 年的 131 亿元,CAGR 为 20%,并且随着 14 nm 及 N+1 制程的推进,公司将显著增加 2020 年资本性支出,计划为 455 亿元。巨额投资将众多 追赶者挡在门外,新进入者难度极大。

随着制程提升,晶圆代工难度显著提升。 随着代工制程的提升,晶体管工艺、光刻、沉积、刻蚀、 检测、封装等技术需要全面创新,以此来支撑芯片性能天花板获得突破。

晶体管工艺持续创新。 传统的晶体管工艺为 bulk Si,也称为体硅平面结构(Planar FET)。 随着 MOS 管的尺寸不断的变小,即沟道的不断变小,会出现各种问题,如栅极漏电、泄漏功 率大等诸多问题,原先的结构开始力不从心,因此改进型的 SOI MOS 出现,与传统 MOS 结 构主要区别在于:SOI 器件具有掩埋氧化层,通常为 SiO2,其将基体与衬底隔离。由于氧化 层的存在,消除了远离栅极的泄漏路径,这可以降低功耗。随着制程持续提升,常规的二氧 化硅氧化层厚度变得极薄,例如在 65nm 工艺的晶体管中的二氧化硅层已经缩小仅有 5 个氧 原子的厚度了。二氧化硅层很难再进一步缩小了,否则产生的漏电流会让晶体管无法正常工 作。因此在 28nm 工艺中,高介电常数(K)的介电材料被引入代替了二氧化硅氧化层(又称 HKMG 技术)。随着设备尺寸的缩小,在较低的技术节点,例如 22nm 的,短沟道效应开始 变得更明显,降低了器件的性能。为了克服这个问题,FinFET 就此横空出世。FinFET 结构 结构提供了改进的电气控制的通道传导,能降低漏电流并克服一些短沟道效应。目前先进制 程都是采用 FinFET 结构。

制程提升,需要更精细的芯片,光刻机性能持续提升。 负责“雕刻”电路图案的核心制造设备是光刻机,它是芯片制造阶段最核心的设备之一,光刻机的精度决定了制程的精度。第四 代深紫外光刻机分为步进扫描投影光刻机和浸没式步进扫描投影光刻机,其中前者能实现最 小 130-65nm 工艺节点芯片的生产,后者能实现最小 45-22nm 工艺节点芯片的生产。通过多 次曝光刻蚀,浸没式步进扫描投影光刻机能实现 22/16/14/10nm 芯片制作。到了 7/5nm 工艺, DUV 光刻机已经较难实现生产,需要更为先进的 EUV 光刻机。EUV 生产难度极大,零部件 高达 10 万多个,全球仅 ASML 一家具备生产能力。目前 EUV 光刻机产量有限而且价格昂 贵,2019 年全年,ASML EUV 销量仅为 26 台,单台 EUV 售价高达 1.2 亿美元。

晶圆代工技术迭代快,利于头部代工厂。 芯片制程进入 90nm 节点以后,技术迭代变快,新的制程 几乎每两到三年就会出现。先进制程不但需要持续的研发投入,也需要持续的巨额资本性支出,而 且新投入的设备折旧很快,以台积电为例,新设备折旧年限为 5 年,5 年以后设备折旧完成,生产 成本会大幅度下降,头部厂商完成折旧以后会迅速降低代工价格,后进入者难以盈利。

2.1摩尔定律延续,技术难度与资本投入显著提升

追寻摩尔定律能让消费者享受更便宜的 力,晶圆代工是推动摩尔定律最重要的环节。 1965 年, 英特尔(Intel)创始人之一戈登·摩尔提出,当价格不变时,集成电路上可容纳的元器件的数目, 约每隔 18-24 个月便会增加一倍,性能也将提升一倍,这也是全球电子产品整体性能不断进化的核 心驱动力,以上定律就是著名的摩尔定律。换而言之,每一美元所能买到的电脑性能,将每隔 18- 24 个月翻一倍以上。推动摩尔定律的核心内容是发展更先进的制程,而晶圆代工是其中最重要的 环节。

摩尔定律仍在延续。 市场上一直有关于摩尔定律失效的顾虑,但是随着 45nm、28nm、10nm 持续 的推出,摩尔定律仍然保持着延续。台积电在 2018 年推出 7nm 先进工艺,2020 年开始量产 5nm, 并持续推进 3nm 的研究,预计 2022 年量产 3nm 工艺。IMEC 更是规划到了 1nm 的节点。此外, 美国国防高级研究计划局进一步提出了先进封装、存算一体、软件定义硬件处理器三个未来发展研 究与发展方向,以此来超越摩尔定律。在现在的时间点上来看,摩尔定律仍然在维持,但进一步提 升推动摩尔定律难度会显著提升。

先进制程资本性投入进一步飙升 。根据 IBS 的统计,先进制程资本性支出会显著提升。以 5nm 节 点为例,其投资成本高达数百亿美金,是 14nm 的两倍,是 28nm 的四倍。为了建设 5nm 产线, 2020 年,台积电计划全年资本性将达到 150-160 亿美元。先进制程不仅需要巨额的建设成本,而 且也提高了设计企业的门槛,根据 IBS 的预测,3nm 设计成本将会高达 5-15 亿美元。

3nm 及以下制程需要采用全新的晶体管工艺。 FinFET 已经历 16nm/14nm 和 10nm/7nm 两个工艺 世代,随着深宽比不断拉高,FinFET 逼近物理极限,为了制造出密度更高的芯片,环绕式栅极晶 体管(GAAFET,Gate-All-Ground FET)成为新的技术选择。不同于 FinFET,GAAFET 的沟道被 栅极四面包围,沟道电流比三面包裹的 FinFET 更加顺畅,能进一步改善对电流的控制,从而优化 栅极长度的微缩。三星、台积电、英特尔均引入 GAA 技术的研究,其中三星已经先一步将 GAA 用 于 3nm 芯片。如果制程到了 2nm 甚至 1nm 时,GAA 结构也许也会失效,需要更为先进的 2 维 、 甚至 3 维立体结构,目前微电子研究中心(Imec)正在开发面向 2nm 的 forksheet FET 结构。

3nm 及以下制程,光刻机也需要升级。 面向 3nm 及更先进的工艺,芯片制造商或将需要一种称为 高数值孔径 EUV(high-NA EUV)的光刻新技术。根据 ASML 年报,公司正在研发的下一代极紫 外光刻机将采用 high-NA 技术,有更高的数值孔径、分辨率和覆盖能力,较当前的 EUV 光刻机将 提高 70%。ASML 预测高数值孔径 EUV 将在 2022 年以后量产。

除上面提到巨额资本与技术难题以外,先进制程对沉积与刻蚀、检测、封装等环节也均有更高的要 求。正是因为面临巨大的资本和技术挑战,目前全球仅有台积电、三星、intel 在进一步追求摩尔定 律,中芯国际在持续追赶,而像联电、格罗方德等晶圆代工厂商已经放弃了 10nm 及以下制程工艺 的研发,全面转向特色工艺的研究与开发。先进制程的进一步推荐节奏将会放缓,为中芯国际追赶 创造了机会。

2.2先进制程占比持续提升,成熟工艺市场不断增长

高性能芯片需求旺盛,先进制程占比有望持续提升。 移动终端产品、高性能计算、 汽车 电子和通信 及物联网应用对算力的要求不断提升,要求更为先进的芯片,同时随着数据处理量的增加,存储芯 片的制程也在不断升级,先进制程的芯片占比有望持续提升。根据 ASML2018 年底的预测,到 2025 年,12 寸晶圆的先进制程占比有望达到 2/3。2019 年中,台积电 16nm 以上和以下制程分别占比 50%,根据公司预计,到 2020 年,16nm 及以下制程有望达到 55%。

CPU、逻辑 IC、存储器等一般采用先进制程(12 英寸),而功率分立器件、MEMS、模拟、CIS、 射频、电源芯片等产品(从 6μm 到 40nm 不等)则更多的采用成熟工艺(8 寸片)。 汽车 、移动 终端及可穿戴设备中超过 70%的芯片是在不大于 8 英寸的晶圆上制作完成。相比 12 寸晶圆产线,8 寸晶圆制造厂具备达到成本效益生产量要求较低的优势,因此 8 寸晶圆和 12 寸晶圆能够实现优 势互补、长期共存。

受益于物联网、 汽车 电子的快速发展,MCU、电源管理 IC、MOSFET、ToF、传感器 IC、射频芯 片等需求持续快速增长。 社会 已经从移动互联网时代进入了物联网时代,移动互联网时代联网设备 主要是以手机为主,联网设备数量级在 40 亿左右,物联网时代,设备联网数量将会成倍增加,高 通预计到 2020 年联网 设备数量有望达到 250 亿以上。飙升的物联网设备需要需要大量的成熟工艺 制程的芯片。以电源管理芯片为例,根据台积电年报数据,公司高压及电源管理晶片出货量从 2014 年的 1800 万片(8 寸)增长到 2019 年的 2900 万片,CAGR 为 10%。根据 IHS 的预测,成熟晶 圆代工市场规模有望从 2020 年的 372 亿美元增长到 2025 年的 415 亿美元。

特色工艺前景依旧广阔,主要代工厂积极布局特色工艺。 巨大的物联网市场前景,吸引了众多 IC 设计公司开发新产品。晶圆代工企业也瞄准了物联网的巨大商机,频频推出新技术,配合设计公司 更快、更好地推出新一代芯片,助力物联网产业高速发展。台积电和三星不仅在先进工艺方面领先布局,在特色工艺方面也深入布局,例如台积电在图像传感器领域、三星在存储芯片领域都深入布 局。联电、格罗方德、中芯国际、华虹半导体等代工厂也全面布局各自的特色工艺,在射频、 汽车 电子、IOT 等领域,形成了各自的特色。

5G 时代终端应用数据量爆炸式提升增加了对半导体芯片的需求,晶圆代工赛道持续繁荣。 随着对 于 5G 通信网络的建设不断推进,不仅带动数据量的爆炸式提升,要求芯片对数据的采集、处理、 存 储 效率更高,而且也催生了诸多 4G 时代难以实现的终端应用,如物联网、车联网等,增加了终 端对芯片的需求范围。对于芯片需求的增长将使得下游的晶圆代工赛道收益,未来市场前景极其广 阔。根据 IHS 预测,晶圆代工市场规模有望从 2020 年的 584 亿美元,增长到 2025 年的 857 亿美 元,CAGR 为 8%。

3.15G 推动手机芯片需求量上涨

5G 手机渗透率快速提升。手机已经进入存量时代,主要以换机为主。2019 年全球智能手机出货量 为 13.7 亿部,2020 年受疫情影响,IDC 等预测手机总体出货量为 12.5 亿台,后续随着疫情的恢 复以及 5G 产业链的成熟,5G 手机有望快速渗透并带动整个手机出货。根据 IDC 等机构预测,5G 手机出货量有望从 2020 年的 1.83 增长到 2024 年的 11.63 亿台,CAGR 为 59%。

5G 手机 SOC、存储和图像传感器全面升级,晶圆代工行业充分受益。 消费者对手机的要求越来越 高,需要更清晰的拍照功能、更好的 游戏 体验、多任务处理等等,因此手机 SOC 性能、存储性能、 图像传感器性能全面提升。目前旗舰机的芯片都已经达到了 7nm 制程,随着台积电下半年 5 nm 产 能的释放,手机 SOC 有望进入 5nm 时代。照片精度的提高,王者荣耀、吃鸡等大型手游和 VLOG 视频等内容的盛行,对手机闪存容量和速度也提出了更高的要求,LPDDR5 在 2020 年初已经正式 亮相小米 10 系列和三星 S20 系列,相较于上一代的 LPDDR4,新的 LPDDR5 标准将其 I/O 速 度从 3200MT/s 提升到 6400MT/s,理论上每秒可以传输 51.2GB 的数据。相机创新是消费者更 换新机的主要动力之一,近些年来相机创新一直在快速迭代,一方面,多摄弥补了单一相机功能不 足的缺点,另一方面,主摄像素提升带给消费者更多的高清瞬间,这两个方向的创新对晶圆及代工 的需求都显著提升。5G 时代,手机芯片晶圆代工市场将会迎来量价齐升。

5G 手机信号频段增加,射频前端芯片市场有望持续快速增长。射频前端担任信号的收发工作,包 括低噪放大器、功率放大器、滤波器、双工器、开关等。相较于 4G 频段,5G 的频段增加了中高 频的 Sub-6 频段,以及未来的更高频的毫米波频段。根据 yole 预测,射频前端市场有望从 2018 年 的 149 亿美元,增长到 2023 年的 313 亿美元,CAGR 为 16%。

3.2云计算前景广阔,服务器有望迎来快速增长

2020 年是国内 5G 大规模落地元年,有望带来更多数据流量需求 。据中国信通院在 2019 年 12 月 份发布的报告,2020 年中国 5G 用户将从去年的 446 万增长到 1 亿人,到 2024 年我国 5G 用户 渗透率将达到 45%,人数将超过 7.7 亿人,全球将达到 12 亿人,5G 用户数的高增长带来流量的 更高增长。

5G 时代来临,云计算产业前景广阔。 进入 5G 时代,IoT 设备数量将快速增加,同时应用的在线 使用需求和访问流量将快速爆发,这将进一步推动云计算产业规模的增长。根据前瞻产业研究院的 报告,2018 年中国云计算产业规模达到了 963 亿元,到 2024 年有望增长到 4445 亿元,CAGR 为 29%,产业前景广阔。

边缘计算是云计算的重要补充,迎来新一轮发展高潮。 根据赛迪顾问的数据,2018 年全球边缘计 算市场规模达到 51.4 亿美元,同比增长率 57.7%,预计未来年均复合增长率将超过 50%。而中国 边缘计算市场规模在 2018 年达到了 77.4 亿元,并且 2018-2021 将保持 61%的年复合增长率,到 2021 年达到 325.3 亿元。

服务器大成长周期确定性强。 服务器短期拐点已现,受益在线办公和在线教育需求旺盛,2020 年 服务器需求有望维持快速增长。长期来看,受益于 5G、云计算、边缘计算强劲需求,服务器销量 有望保持持续高增长。根据 IDC 预测,2024 年全球服务器销量有望达到 1938 万台,19-24 年, CAGR 为 13%。

服务器半导体需求持续有望迎来快速增长,晶圆代工充分受益。 随着服务器数量和性能的提升,服 务器逻辑芯片、存储芯片对晶圆的需求有望快速增长,根据 Sumco 的预测,服务器对 12 寸晶圆 需求有望从 2019 年的 80 万片/月,增长到 2024 年的 158 万片/月,19-24 年 CAGR 为 8%。晶圆 代工市场有望充分受益服务器芯片量价齐升。

3.3三大趋势推动 汽车 半导体价值量提升

传统内燃机主要价值量主要集中在其动力系统。 而随着人们对于 汽车 出行便捷性、信息化的要求逐 渐提高, 汽车 逐步走向电动化、智能化、网联化,这将促使微处理器、存储器、功率器件、传感器、 车载摄像头、雷达等更为广泛的用于 汽车 发动机控制、底盘控制、电池控制、车身控制、导航及车 载 娱乐 系统中, 汽车 半导体产品的用量显著增加。

车用半导体有望迎来加速增长。 根据 IHS 的报告,车用半导体销售额 2019 年为 410 亿美元,13- 19 年 CAGR 为 8%。随着 汽车 加速电动化、智能化、网联化,车用芯片市场规模有望迎来加速, 根据 Gartner 的数据,全球 汽车 半导体市场 2019 年销售规模达 410.13 亿美元,预计 2022 年有望 达到 651 亿美元,占全球半导体市场规模的比例有望达到 12%,并成为半导体下游应用领域中增 速最快的部分。

自动驾驶芯片要求高,有望进一步拉动先进制程需求。 自动驾驶是通过雷达、摄像头等将采集车辆 周边的信息,然后通过自动驾驶芯片处理数据并给出反馈,以此降低交通事故的发生率、提高城市 中的运载效率并降低驾驶员的驾驶强度。自动驾驶要求多传感器之间能够及时、高效地传递信息, 并同时完成路线规划和决策,因此需要完成大量的数据运算和处理工作。随着自动驾驶级别的上升, 对于芯片算力的要求也越高,产生的半导体需求和价值量也随之水涨船高。英伟达自动驾驶芯片随 着自动驾驶级别的提升,芯片制程也显著提升,最早 Drive PX 采用的是 20nm 工艺,而最新 2019 年发布的 Drive AGX Orin 将会采用三星 8nm 工艺。根据英飞凌的预测,自动驾驶给 汽车 所需要的 半导体价值带来相当可观的增量,一辆车如果实现 Level2 自动驾驶,半导体价值增量就将达到 160 美元,若自动驾驶级别达到 level4&5,增量将会达到 970 美元。

3.4IoT 快速增长,芯片类型多

随着行业标准完善、技术不断进步、政策的扶持,全球物联网市场有望迎来爆发性增长。GSMA 预 测,中国 IOT 设备联网数将会从 2019 年的 36 亿台, 增到 到 2025 年的 80 亿台,19-25 年 CAGR 为 17.3%。根据全球第二大市场研究机构 MarketsandMarkets 的报告,2018 年全球 IoT 市场规模 为 795 亿美元,预计到 2023 年将增长到 2196 亿美元,18-23 年 CAGR 为 22.5%。

物联网的发展需要大量芯片支撑,半导体市场规模有望迎来进一步增长 。物联网感知层的核心部件 是传感器系统,产品需要从现实世界中采集图像、温度、声音等多种信息,以实现对于所处场景的 智能分析。感知需要向设备中植入大量的 MEMS 芯片,例如麦克风、陀螺仪、加速度计等;设备 互通互联需要大量的通信芯片,包括蓝牙、WIFI、蜂窝网等;物联网时代终端数量和数据传输通道 数量大幅增加,安全性成为最重要的需求之一,为了避免产品受到恶意攻击,需要各种类型的安全 芯片作支持;同时,身份识别能够保障信息不被盗用,催生了对于虹膜识别和指纹识别芯片的需求; 作为物联网终端的总控制点,MCU 芯片更是至关重要,根据 IC Insights 的预测,2018 年 MCU 市 场规模增长 11%,预计未来四年内 CAGR 达 7.2%,到 2022 年将超过 240 亿美元。

4.1 国内 IC 设计企业快速增长,代工需求进一步放量

国内集成电路需求旺盛,有望持续维持快速增长。 国内集成电路市场需求旺盛,从 2013 年的 820 亿美元快速增长到 2018 年的 1550 亿美元,CAGR 为 13.6%,IC insight 预测,到 2023 年,中国 集成电路市场需求有望达到 2290 亿美元,CAGR 为 8%。但是同时,国内集成电路自给率也严重 不足,2018 年仅为 15%,IC insight 在 2019 年预测,到 2023 年,国内集成电路自给率为 20%。

需求驱动,国内 IC 设计快速成长。 在市场巨大的需求驱动下,国内 IC 设计企业数量快速增加,尤 其近几年,在国内政策的鼓励下,以及中美贸易摩擦大的背景下,IC 设计企业数量加速增加,2019 年底,国内 IC 设计企业数量已经达到了 1780 家,2010-2019 年,CAGR 为 13%。根据中芯国际 的数据,国内 IC 设计公司营收 2020 年有望达到 480 亿美元,2011-2020 年 CAGR 为 24%,远 高于同期国际 4%的复合增长率。

国内已逐步形成头部 IC 设计企业。 根据中国半导体行业协会的统计,2019 年营收前十的入围门槛 从 30 亿元大幅上升到 48 亿元,这十大企业的增速也同样十分惊人,达到 47%。国内 IC 企业逐步 做大做强,部分领域已经形成了一些头部企业:手机 SoC 芯片领域有华为海思、中兴微电子深度 布局;图像传感领域韦尔豪威大放异彩;汇顶 科技 于 2019 年引爆了光学屏下指纹市场;卓胜微、 澜起 科技 分别在射频开关和内存接口领域取得全球领先。IC 设计企业快速成长有望保持对晶圆代 工的强劲需求。

晶圆代工自给率不足。 中国是全球最大的半导体需求市场,根据中芯国际的预测,2020 年中国对 半导体产品的需求为 2130 亿美元,占全球总市场份额为 49%,但是与之相比的是晶圆代工市场份 额严重不足,根据拓墣研究的数据,2020Q2,中芯国际和华虹半导体份额加起来才 6%,晶圆代 工自给率严重不足,尤其考虑到中国 IC 设计企业数量快速增长,未来的需求有望持续增长,而且, 美国对华为等企业的禁令,更是让我们意识到了提升本土晶圆代工技术和产能的重要性。

4.2政策与融资支持,中国晶圆代工企业迎来良机(略)

晶圆代工需求不断增长,但国内自给严重不足,受益需求与国内政策双重驱动,国内晶圆代工迎来 良机。建议关注:国内晶圆代工龙头,突破先进制程瓶颈的中芯国际-U、特色化晶 圆代工与功率半导体 IDM 双翼发展的华润微华润微、坚持特色工艺,盈利能力强的华虹半导体华虹半导体。

……

(报告观点属于原作者,仅供参考。作者:东方证券,蒯剑、马天翼)

如需完整报告请登录【未来智库】www.vzkoo.com。

导 读 ( 文/ ittbank 授权发布 )

集成电路作为半导体产业的核心,市场份额达83%,由于其技术复杂性,产业结构高度专业化。随着产业规模的迅速扩张,产业竞争加剧,分工模式进一步细化。

目前市场产业链为IC设计、IC制造和IC封装测试。

○ 在核心环节中,IC设计处于产业链上游,IC制造为中游环节,IC封装为下游环节。

○ 全球集成电路产业的产业转移,由封装测试环节转移到制造环节,产业链里的每个环节由此而分工明确。

○ 由原来的IDM为主逐渐转变为Fabless+Foundry+OSAT。

▲全球半导体产业链收入构成占比图

① 设计:

细分领域具备亮点,核心关键领域设计能力不足。从应用类别(如:手机到 汽车 )到芯片项目(如:处理器到FPGA),国内在高端关键芯片自给率几近为0,仍高度仰赖美国企业;

② 设备:

自给率低,需求缺口较大,当前在中端设备实现突破,初步产业链成套布局,但高端制程/产品仍需攻克。中国本土半导体设备厂商只占全球份额的1-2%,在关键领域如:沉积、刻蚀、离子注入、检测等,仍高度仰赖美国企业;

③ 材料:

在靶材等领域已经比肩国际水平,但在光刻胶等高端领域仍需较长时间实现国产替代。全球半导体材料市场规模443 亿美金,晶圆制造材料供应中国占比10%以下,部分封装材料供应占比在30%以上。在部分细分领域上比肩国际领先,高端领域仍未实现突破;

④ 制造:

全球市场集中,台积电占据60%的份额,受贸易战影响相对较低。大陆跻身第二集团,全球产能扩充集中在大陆地区。代工业呈现非常明显的头部效应,在全球前十大代工厂商中,台积电一家占据了60%的市场份额。此行业较不受贸易战影响;

⑤ 封测:

最先能实现自主可控的领域。封测行业国内企业整体实力不俗,在世界拥有较强竞争力,长电+华天+通富三家17 年全球整体市占率达19%,美国主要的竞争对手仅为Amkor。此行业较不受贸易战影响。

一、设计

按地域来看,当前全球IC 设计仍以美国为主导,中国大陆是重要参与者。2017 年美国IC设计公司占据了全球约53%的最大份额,IC Insight 预计,新博通将总部全部搬到美国后这一份额将攀升至69%左右。台湾地区IC 设计公司在2017 年的总销售额中占16%,与2010年持平。联发科、联咏和瑞昱去年的IC 销售额都超过了10 亿美元,而且都跻身全球前二十大IC 设计公司之列。欧洲IC 设计企业只占了全球市场份额的2%,日韩地区Fabless 模式并不流行。

与非美国海外地区相比,中国公司表现突出。世界前50 fabless IC 设计公司中,中国公司数量明显上涨,从2009 年1 家增加至2017 年10 家,呈现迅速追赶之势。2017 年全球前十大Fabless IC 厂商中,美国占据7 席,包括高通、英伟达、苹果、AMD、Marvell、博通、赛灵思;中国台湾地区联发科上榜,大陆地区海思和紫光上榜,分别排名第7 和第10。

2017 年全球前十大Fables s IC 设计厂商

(百万美元)

然而,尽管大陆地区海思和紫光上榜,但可以看到的是,高通、博通和美满电子在中国区营收占比达50%以上,国内高端 IC 设计能力严重不足。可以看出,国内对于美国公司在核心芯片设计领域的依赖程度较高。

自中美贸易战打响后,通过“中兴事件”和“华为事件”我们可以清晰的看到,核心的高端通用型芯片领域,国内的设计公司可提供的产品几乎为0。

大陆高端通用芯片与国外先进水平差距主要体现在四个方面:

1)移动处理器的国内外差距相对较小。

紫光展锐、华为海思等在移动处理器方面已进入全球前列。

2)中央处理器(CPU) 是追赶难度最大的高端芯片。

英特尔几乎垄断了全球市场,国内相关企业约有 3-5 家,但都没有实现商业量产,多仍然依靠申请科研项目经费和政府补贴维持运转。龙芯等国内 CPU 设计企业虽然能够做出 CPU 产品,而且在单一或部分指标上可能超越国外 CPU,但由于缺乏产业生态支撑,还无法与占主导地位的产品竞争。

3)存储器国内外差距同样较大。

目前全球存储芯片主要有三类产品,根据销售额大小依次为:DRAM、NAND Flash 以及Nor Flash。在内存和闪存领域中,IDM 厂韩国三星和海力士拥有绝对的优势,截止到2017年,在两大领域合计市场份额分别为75.7%和49.1%,中国厂商竞争空间极为有限,武汉长江存储试图发展 3D Nand Flash(闪存)的技术,但目前仅处于 32 层闪存样品阶段,而三星、英特尔等全球龙头企业已开始陆续量产 64 层闪存产品;在Nor flash 这个约为三四十亿美元的小市场中,兆易创新是世界主要参与厂家之一,其他主流供货厂家为台湾旺宏,美国Cypress,美国美光,台湾华邦。

4)FPGA、AD/DA 等高端通用型芯片,国内外技术悬殊。

这些领域由于都是属于通用型芯片,具有研发投入大,生命周期长,较难在短期聚集起经济效益,因此在国内公司层面发展较为缓慢,甚至有些领域是停滞的。

总的来看,芯片设计的上市公司,都是在细分领域的国内最强。比如2017 年汇顶 科技 在指纹识别芯片领域超越FPC 成为全球安卓阵营最大指纹IC 提供商,成为国产设计芯片在消费电子细分领域少有的全球第一。士兰微从集成电路芯片设计业务开始,逐步搭建了芯片制造平台,并已将技术和制造平台延伸至功率器件、功率模块和MEMS 传感器的封装领域。但与国际半导体大厂相比,不管是高端芯片设计能力,还是规模、盈利水平等方面仍有非常大的追赶空间。

二、设备

目前,我国半导体设备的现况是低端制程实现国产替代,高端制程有待突破,设备自给率低、需求缺口较大。

关键设备技术壁垒高,美日技术领先,CR10 份额接近80%,呈现寡头垄断局面。半导体设备处于产业链上游,贯穿半导体生产的各个环节。按照工艺流程可以分为四大板块——晶圆制造设备、测试设备、封装设备、前端相关设备。其中晶圆制造设备占据了中国市场70%的份额。再具体来说,晶圆制造设备根据制程可以主要分为8 大类,其中光刻机、刻蚀机和 薄膜沉积设备这三大类设备占据大部分的半导体设备市场。同时设备市场高度集中,光刻机、CVD 设备、刻蚀机、PVD 设备的产出均集中于少数欧美日本巨头企业手上。

中国半导体设备国产化率低,本土半导体设备厂商市占率仅占全球份额的1-2%。

关键设备在先进制程上仍未实现突破。目前世界集成电路设备研发水平处于12 英寸7nm,生产水平则已经达到12 英寸14nm;而中国设备研发水平还处于12 英寸14nm,生产水平为12 英寸65-28nm,总的来看国产设备在先进制程上与国内先进水平有2-6 年时间差;具体来看65/55/40/28nm 光刻机、40/28nm 的化学机械抛光机国产化率依然为0,28nm化学气相沉积设备、快速退火设备、国产化率很低。

三、材料

半导体材料发展历程

▲各代代表性材料主要应用

▲第二、三代半导体材料技术成熟度

细分领域已经实现弯道超车,核心领域仍未实现突破,半导体材料主要分为晶圆制造材料和封装材料两大块。晶圆制造材料中,硅片机硅基材料最高占比31%,其次依次为光掩模版14%、光刻胶5%及其光刻胶配套试剂7%。封装材料中,封装基板占比最高,为40%,其次依次为引线框架16%,陶瓷基板11%,键合线15%。

日美德在全球半导体材料供应上占主导地位。各细分领域主要玩家有:硅片——Shin-Etsu、Sumco,光刻胶——TOK、Shipley,电子气体——Air Liquid、Praxair,CMP——DOW、3M,引线架构——住友金属,键合线——田中贵金属、封装基板——松下电工,塑封料——住友电木。

(1)靶材、封装基板、CMP 等,我国技术已经比肩国际先进水平的、实现大批量供货、可以立刻实现国产化。已经实现国产化的半导体材料典例——靶材。

(2)硅片、电子气体、掩模板等,技术比肩国际、但仍未大批量供货的产品。

(3)光刻胶,技术仍未实现突破,仍需要较长时间实现国产替代。

四、制造

晶圆制造环节作为半导体产业链中至关重要的工序,制造工艺高低直接影响半导体产业先进程度。过去二十年内国内晶圆制造环节发展较为滞后,未来在国家政策和大基金的支持之下有望进行快速追赶,将有效提振整个半导体行业链的技术密度。

半导体制造在半导体产业链里具有卡口地位。制造是产业链里的核心环节,地位的重要性不言而喻。统计行业里各个环节的价值量,制造环节的价值量最大,同时毛利率也处于行业较高水平,因为Fabless+Foundry+OSAT 的模式成为趋势,Foundry 在整个产业链中的重要程度也逐步提升,可以这么认为,Foundry 是一个卡口,产能的输出都由制造企业所掌控。

代工业呈现非常明显的头部效应 根据IC Insights 的数据显示,在全球前十大代工厂商中,台积电一家占据了超过一半的市场份额,2017 年前八家市场份额接近90%,同时代工主要集中在东亚地区,美国很少有此类型的公司,这也和产业转移和产业分工有关。我们认为,中国大陆通过资本投资和人才集聚,是有可能在未来十年实现代工超越的。

“中国制造”要从下游往上游延伸,在技术转移路线上,半导体制造是“中国制造”尚未攻克的技术堡垒。中国是个“制造大国”,但“中国制造”主要都是整机产品,在最上游的“芯片制造”领域,中国还和国际领先水平有很大差距。

在从下游的制造向“芯片制造”转移过程中,一定要涌现出一批技术领先的晶圆代工企业。在芯片贸易战打响之时,美国对我国制造业技术封锁和打压首当其冲,我们在努力传承“两d一星”精神,自力更生艰苦创业的同时,如何处理与台湾地区先进企业台积电、联电之间的关系也会对后续发展产生较大的蝴蝶效应。

五、封测

当前大陆地区半导体产业在封测行业影响力为最强,市场占有率十分优秀,龙头企业长电 科技 /通富微电/华天 科技 /晶方 科技 市场规模不断提升,对比台湾地区公司,大陆封测行业整体增长潜力已不落下风,台湾地区知名IC 设计公司联发科、联咏、瑞昱等企业已经将本地封测订单逐步转向大陆同业公司。封测行业呈现出台湾地区、美国、大陆地区三足鼎立之态,其中长电 科技 /通富微电/华天 科技 已通过资本并购运作,市场占有率跻身全球前十(长电 科技 市场规模位列全球第三),先进封装技术水平和海外龙头企业基本同步,BGA、WLP、SiP 等先进封装技术均能顺利量产。

封测行业我国大陆企业整体实力不俗,在世界拥有较强竞争力,美国主要的竞争对手为Amkor 公司,在华业务营收占比约为18%,封测行业美国市场份额一般,前十大封测厂商中,仅有Amkor 公司一家,应该说贸易战对封测整体行业影响较小,从短中长期而言,Amkor 公司业务取代的可能性较高。

封测行业位于半导体产业链末端,其附加价值较低,劳动密集度高,进入技术壁垒较低,封测龙头日月光每年的研发费用占收入比例约为4%左右,远低于半导体IC 设计、设备和制造的世界龙头公司。随着晶圆代工厂台积电向下游封测行业扩张,也会对传统封测企业会构成较大的威胁。

2017-2018 年以后,大陆地区封测(OSAT)业者将维持快速成长,目前长电 科技 /通富微电已经能够提供高阶、高毛利产品,未来的3-5 年内,大陆地区的封测企CAGR增长率将持续超越全球同业。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/9211607.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-26
下一篇 2023-04-26

发表评论

登录后才能评论

评论列表(0条)

保存