RC corner

RC corner,第1张

scenario 定义中包括 Mode、Corner、RC

其中 Corner (PVT)用于计算 cell delay

而 RC 用于计算 net delay

本文简要介绍如何使用 RC 参数来计算 net delay 值

下图是绕线模型示意图:

1.  每条绕线上存在线电阻 R ,计算公式如下:

其中ρ为电阻率,W*T为截面积,L为绕线长度(单位长度 L=1) 

所以绕线宽度 W 或者厚度 T 增加都会使得线电阻减小

2. 同层相邻两条绕线之间存在耦合电容,耦合电容计算公式如下

其中ξ 为介电常数,S为相邻绕线间距,T为线的厚度

所以间距增加则电容减小,厚度增加则电容增加

3. 相邻两层两条平行的绕线之间则存在表面电容,计算公式如下:

其中ξ 为介电常数,D 为相邻两层metal 的间距,W 为走线宽度

所以走线越宽,表面电容越大

知道了 R C 的计算方法,那么根据 RC 如何计算出 net delay 呢?

简单来说

net delay =~ 3 * R * C

所以 R*C 越大, net delay 就越大

一个基本的Senario包括PVT三个要素。

P Process是指Fab在生产过程中工艺、机台等的差异导致芯片的偏差。分为TT SS FF FS SF等这几类情况。

              SS:slow NMOS and Slow PMOS

              FF:Fast NMOS and Fast PMOS

其余的类推,这里的slow和fast指的是载流子迁移的速度。可以通俗的理解为Fast表示芯片速度快。

V Voltage 指得是芯片的工作电压。例如tt电压为1V ss的电压就是0.9V ff的电压就是1.1V

T Temperature 是指芯片工作的温度。例如tt的温度是0℃ or 25℃。高温就是125℃ 低温一般为-40℃

此外有些Senario还包括其他的工作状态,如DFT mode or Function mode。RC coner,如Cbest RC worst etc。

PVT三者相互组合就形成了如下的Senario。对应的解释如下。

WC:worst case slow,低电压,高温度,慢工艺 ->一般情况下delay最大,setup 差。

WCL:worst case low-temperature,低电压,低温度,慢工艺 ->温度反转效应时delay最大,setup差。

LT:即low-temperature,也叫bc(best case fast),高电压,低温度,快工艺 ->一般情况下delay最小,hold差。

ML:max-leakage,高电压,高温度,快工艺 ->温度反转效应下delay最小,hold差。

TC:typical,也叫tt,普通电压,普通温度,标准工艺 ->各种typical。

BC:Best case。高电压,快工艺,常温0℃ or 25℃。

原文链接:https://blog.csdn.net/zyn1347806/article/details/103372344

-- 工艺效应系数和PVT系数:比如线宽增大效应,温度系数等。ict文件是ASCII格式的,是可读的,里面的主要内容还是比较容易看懂的。详细的格式可以参考:Encounter User Guide -->Appendix A: Creating the ICT File2) captable 由ict文件生成,其内容主要是电容电阻的查表。通常由半导体厂提供。 因为ict文件是工艺参数,并不是直接的电阻电容值,如果直接使用,则每一段导线都要根据工艺参数去计算电阻电容,计算量太大。所以,为了减少RC抽取过程中的计算量,节省RC抽取的时间,将ict文件转换成captable。在captable中,由导线的长度和宽度查表即可得到电阻电容值,虽然过程中也要计算一些系数的影响(比如温度系数),但计算量仍然大幅减少了。由此可见,captable的生成过程就是由ict中的工艺参数按照一些特定的导线尺寸计算出相应电阻电容值的过程。生成时间在1~2天的量级。captable用以下命令生成:generateCapTbl 该命令既可以在Encounter中执行(支持多线程/CPU);也可以单独运行(不支持多线程/CPU)。命令用法详见:Encounter Text Command Referencecaptable的精度低于后文所讲的qrcTechfile,主要用于布线之前的步骤。何种阶段使用何种RC抽取引擎要在精度和运行时间之间进行折中。因为布线之前的步骤均使用预布线(trial route),所以没有必要使用qrcTechFile。captable的详细介绍请参考:Encounter User Guide -->RC Extraction一章3) qrcTechfile 也由ict文件生成,用于QRC引擎。通常由半导体厂提供。 其原理与captable相同,但对导线的3D建模更加精确,并且会考虑更多的工艺效应,所以其电阻电容值也更加精确。相应的,qrcTechfile的生成非常耗时,通常在10天的量级。qrcTechfile用以下命令生成:Techgen。这是一个小工具,可以在Encounter的安装目录下找到。具体用法请参考:QRC Techgen Reference Manual QRC引擎是具有signoff质量的RC抽取工具,一般从routing开始就应该使用QRC引擎进行RC抽取,以获得较高的精度,加速时序收敛。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/dianzi/9221176.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-26
下一篇 2023-04-26

发表评论

登录后才能评论

评论列表(0条)

保存