Modelsim 破解问题

Modelsim 破解问题,第1张

这个问题我也遇到了,全网也没找到办法,不过我自己研究了下,低效地解决了。

首先你先不关闭这个d窗,ModelSim主窗口下面显示“Cannot find license file C:\flexlm\license.dat”的日志,则说明你跟我情况一样了。请往下看。

这说明了license的环境变量设置正确了,因为,不设置环境变量会d窗让你运行 “lmutil lmdiag”诊断。我诊断了下发现输出错误信息如下,这跟注册机运行时哗哗输出的一样。事实上,这是注册机输出的有问题,注册失败。

FlexNet Licensing error:-90,313

D:\ModelSim\win64\LICENSE.TXT:line 2061:Future license file format or misspelling in license file.

 The file was issued for a later version of FlexNet Licensing than this program understands.

License text:  8" SN=18XXXXXX SIGN2=0

License path:  D:\ModelSim\win64\LICENSE.TXT

FlexNet Licensing error:-90,313

解决方案:

多运行patch_dll.bat几次试试。

在win7主机/虚拟机上(或别人能成功注册的电脑上)安装modelsim,然后你会发现破解会成功,但是直接复制其中生成的license.txt到你的计算机上是不行的。因为HOSTID不同,你可以先记录下你计算机的HOSTID(在你电脑上运行注册机“MentorKG.exe -patch .”生成的license.txt的第一行“#SERVER WIN-NAME 00ffXXXXXXXX 27001”其中的00ffXXXXXXXX 就是HOSTID),在win7上运行MentorKG.exe -h 00ffXXXXXXXX -patch .生成的license.txt拷贝到你的电脑上就可以用。我是这样解决的。希望对你有帮助。

win7 ie浏览器下载默认位置为c:\users\用户名\download文件夹,查看方法如下: 1、启动ie浏览器,按alt键显示菜单栏; 2、点击工具菜单---查看下载命令; 3、点击选项; 4、点击浏览; 5、即可找到下载文件夹。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/tougao/11172578.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-14
下一篇 2023-05-14

发表评论

登录后才能评论

评论列表(0条)

保存