哪位能告诉下在ise 中编译 modelsim 仿真库的设置步骤吗,谢谢

哪位能告诉下在ise 中编译 modelsim 仿真库的设置步骤吗,谢谢,第1张

开始->程序->xilinx *.*->ise->tools->simulation library ***(全名忘了)

打开那玩意,里面一步一步的提示很清楚的。

编译的话最好针对性选几项不要全选,否则会很慢的。

编好后在ise的project里面右键你的project进入project properties里,把仿真程序设定为modelsim

手边没环境,实验室不能上网~~只好这样语焉不详了

写好项目,文件之后,在左侧的Sources 点击主文件,下框自动显示Processes,请点击Implement Design的 “+” , 再右击 Implement Design, 选择 Run, Rerun 或者 Run All 即可!(参考版本Ise-V10.1)


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/tougao/7974116.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-12
下一篇 2023-04-12

发表评论

登录后才能评论

评论列表(0条)

保存