VHDL最新的QuartysII软件中如何由电路原理图转化为程序代码?

VHDL最新的QuartysII软件中如何由电路原理图转化为程序代码?,第1张

点击左上角的File,在出来的下拉菜单中选择Create/Update,在选择Create VHDL Component Declaration Files for Current File

有个软件可以完成vhdl和verilog的语法转化 叫x-hdl

http://ishare.iask.sina.com.cn/f/36981321.html

但这个vhdl程序本身不完整 看看是不是复制的有问题

WHEN OTHERS =>OUTMOD<="0001"OUTLED1<=(OTHERS=>'0')OUTLED2<=(OTHERS=>'0')OUTLED3<=DIV_OUT1(3 DOWNTO 0)OUTLED4<=DIV_OUT2

END CASE

这一段的开头没有 不是一个完整的case语句

有,使用VHDL-VERILOG转换软件,1分钟内完成。

http://ishare.iask.sina.com.cn/f/24366318.html

免费下载。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/yw/11281510.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-14
下一篇 2023-05-14

发表评论

登录后才能评论

评论列表(0条)

保存