quartus ii使用教程

quartus ii使用教程,第1张

1、首先,打闹带开Quartus II 应用程序,并选择创建新工程按钮。

2、这一液袜芦步骤不需要修改任何参数,直接下一步即可。

3、修改保存路径以及工程名称。工程名称不能任意的命名,要和程序当中的实体名保持一致。

4、然后选中加进去的文件名,点击好岁下一步即可。

5、这一步需要我们选择器件,在Family框中选择系列,或者具体选择一个器件。

6、到了这工程就建好了,直接点击完成按钮就是了。

library ieee

use ieee.std_logic_1164.all

use ieee.std_logic_arith.all

use ieee.std_logic_unsigned.all

entity adder16b is

port(cin :in std_logic

a ,b:in std_logic_vector(15 downto 0)

s : out std_logic_vector(15 downto 0)

cout :out std_logic

)

end adder16b

architecture behav of adder16b is

signal sint:std_logic_vector(16 downto 0)

signal aa,bb:std_logic_vector(16 downto 0)

begin

aa<='0'&a

bb<='0'拆物绝&b

sint<=aa+bb+cin

s<=sint(15 downto 0)

cout<=sint(4)

end behav

这是我在书上看到的,这个旅姿是16位的,我认为你把标准逻辑位向蚂旁量改下估计就能用了,但不知道是不是有效。你试下吧。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/yw/12259183.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-24
下一篇 2023-05-24

发表评论

登录后才能评论

评论列表(0条)

保存