单片机编程,写LCD驱动的时候,为什么要假读?比如LCD12864或者LCD1602,假读的意义是什么?

单片机编程,写LCD驱动的时候,为什么要假读?比如LCD12864或者LCD1602,假读的意义是什么?,第1张

在给LCD1602写命令字或数据时,要先查询一下,液晶屏是否忙,这时要高铅孝读其状态戚稿位D7,来判断是否忙状态。虽然只要判断一位,但也要激行读出8位数据来。但这也不叫什么假读的,是真的读的。

看哪有“假读”这一词的?真能发明,又发明假读一词来了。

至于LCD12864,更没有假读一说了。

步骤介绍12864的内部资源原理,指令集详细讲解,缺则镇以及应用例子。

具体驱动程序、参数要求LCD WYM12864系列液晶产品 可以咨询 南京 罗姆液晶 。

对12864的所有 *** 作概括起来有4种:

1)、读忙状态(同时读出指针地址内容),初始化之后每次对12864的读写均要进行忙检测。

2)、写命令:所有的命令可以查看指令表,后续讲解指令的详细用法。写地址也是写指令。

3)、写数据: *** 作对象有DDRAM、CGRAM、GDRAM。

4)、读数据: *** 作对象也是DDRAM、CGRAM、GDRAM。

对12864的学习首相要了解其内部资源,知道了它里面有哪些东西,你就可以更加方便的使用它。

先介绍几个英文的名字:

DDRAM:(Data Display Ram),数据显示RAM,往里面写啥,屏幕就会显示啥。

CGROM:(Character Generation ROM),字符发生ROM。里面存储了中文汉字的字模,也称作中文字库,编码方式有GB2312(中文简体)和BIG5(中文繁体)。笔者使用的是育松电子的QC12864B,讲解以此为例。

CGRAM:(Character Generation RAM),字符发生RAM,,12864内部提供了64×2B的CGRAM,可用于用户自定义4个16×16字符,每个字符占用32个字节

GDRAM:(Graphic Display RAM):图形显示RAM,这一块区域用于绘图,往里面写啥,屏幕就会显示啥,它与DDRAM的区别在于,往DDRAM中写的数据是字符的编码,字符的显示先是在CGROM中找到字模,然后映射到屏幕上,而往GDRAM中写的数据时图形的点阵信息,每个点用1bit来保存其显示与否。

HCGROM:(Half height Character Generation ROM):半宽字符发生器,就是字母与数字,也就是ASCII码。

至于ICON RAM(IRAM):貌似市场上的12864没有该项功能,笔者也没有找到它的应用资料,所以不作介绍。

下面就围绕着上面列举的这列资源展开对12864的讲解:

DDRAM:

笔者使用的这块12864内部有4行×32字节的DDRAM空间伏粗。但是某一时刻,屏幕只能显示2行×32字节的空间,那么剩余的这些空间呢?它们可以用于缓存,在实现卷屏显示时这些空间就派上用场了。

DDRAM结构如下所示:

80H、81H、82H、83H、84H、85H、86H、87H、88H、盯洞89H、8AH、8BH、8CH、8DH、8EH、8FH

90H、91H、92H、93H、94H、95H、96H、97H、98H、99H、9AH、9BH、9CH、9DH、9EH、9FH

A0H、A1H、A2H、A3H、A4H、A5H、A6H、A7H、A8H、A9H、AAH、ABH、ACH、ADH、AEH、AFH

B0H、B1H、B2H、B3H、B4H、B5H、B6H、B7H、B8H、B9H、BAH、BBH、BCH、BDH、BEH、BFH

地址与屏幕显示对应关系如下:

第一行:80H、81H、82H、83H、84H、85H、86H、87H

第二行:90H、91H、92H、93H、94H、95H、96H、97H

第三行:88H、89H、8AH、8BH、8CH、8DH、8EH、8FH

第四行:98H、99H、9AH、9BH、9CH、9DH、9EH、9FH

说明:红色部分的数据归上半屏显示,绿色部分的数据归下半屏显示。一般我们用于显示字符使用的是上面两行的空间,也就是80H~8FH,90H~9FH,每个地址的空间是2个字节,也就是1个字,所以可以用于存储字符编码的空间总共是128字节。因为每个汉字的编码是2个字节,所以每个地址需要使用2个字节来存储一个汉字。当然如果将2个字节拆开来使用也可以,那就是显示2个半宽字符。

DDRAM内部存储的数据是字符的编码,可以写入的编码有ASCII码、GB2312码、BIG5码。笔者使用的12864字库貌似不太全,字符“数”都无法显示,而是显示其他字符。如果显示长篇汉字文章就不太适合吧。

DDRAM数据读写:

所有的数据读写都是先送地址,然后进行读写。对DDRAM写数据时,确保在基本指令集下(使用指令0x30开启),然后写入地址,之后连续写入两个字节的数据。读数据时,在基本指令集下先写地址,然后假读一次,之后再连续读2个字节的数据,读完之后地址指针自动加一,跳到下一个字,若需要读下一个字的内容,只需再执行连续读2个字节的数据。这里的假读需要注意,不光是读CGRAM需要假读,读其他的GDRAM、DDRAM都需要先假读一次,之后的读才是真读,假读就是读一次数据,但不存储该数据,也就是说送地址之后第一次读的数据时错误的,之后的数据才是正确的。(dummy为假读)

关于编码在DDRAM中的存储需要说明事项如下:

1)、每次对DDRAM的 *** 作单位是一个字,也就是2个字节,当往DDRAM写入数据时,首先写地址,然后连续送入2个字节的数据,先送高字节数据,再送低字节数据。读数据时也是如此,先写地址,然后读出高字节数据,再读出低字节数据(读数据时注意先假读一次)。

2)、显示ASCII码半宽字符时,往每个地址送入2个字节的ASCII编码,对应屏幕上的位置就会显示2个半宽字符,左边的为高字节字符,右边的为低字节字符。

3)、显示汉字时,汉字编码的2个字节必须存储在同一地址空间中,不能分开放在2个地址存放,否则显示的就不是你想要的字符。每个字中的2个字节自动结合查找字模并显示字符。所以,如果我们往一个地址中写入的是一个汉字的2字节编码就会正确显示该字符,编码高字节存放在前一地址低字节,编码低字节存放在后一地址高字节,显然他们就不会结合查找字模,而是与各地址相应字节结合查找字模。

4)、因为控制器ST7920提供了4个自定义字符,所以这4个自定义字符也是可以显示出来的,同样这4个自定义字符也是采用编码的方式,但是这4个字符的编码是固定的,分别是0000H,0002H,0004H,0006H。如下图所示:

上图只是把2个字符的CGRAM空间画出来,后续还有2个字符。可以看到每个字符都有16行16列,每一行使用2个字节,因此一个字符占用的空间是32字节,地址是6位的,4个字符的地址分别是:00H~0FH、10H~1FH、20H~2FH、30H~3FH。编码使用2个字节,可以看到有2个位是任意的,说明其实这4个字符的编码可以有多个,只是我们常用前面列举的4个编码。

CGRAM: (数据读写)

CGRAM的结构就是上面所示了,这里再补充一些读写CGRAM的内容,读写之前先写地址,写CGRAM的指令为0x40+地址。但是我们写地址时只需要写第一行的地址,例如第一个字符就是0x40+00H,然后连续写入2个字节的数据,之后地址指针会自动加一,跳到下一行的地址,然后再写入2个字节的数据。其实编程实现就是写入地址,然后连续写入32个字节的数据。读数据也是先写首地址,然后假读一次,接着连续读32个字节的数据。

GDRAM:(绘图显示RAM)

绘图RAM的空间结构如下图所示:

这些都是点阵,绘图RAM就是给这些点阵置1或置0,可以看到其实它本来是32行×256列的,但是分成了上下两屏显示,每个点对应了屏幕上的一个点。要使用绘图功能需要开启扩展指令。然后写地址,再读写数据。

GDRAM的读写:

首先说明对GDRAM的 *** 作基本单位是一个字,也就是2个字节,就是说读写GDRAM时一次最少写2个字节,一次最少读2个字节。

写数据:先开启扩展指令集(0x36),然后送地址,这里的地址与DDRAM中的略有不同,DDRAM中的地址只有一个,那就是字地址。而GDRAM中的地址有2个,分别是字地址(列地址/水平地址X)和位地址(行地址/垂直地址Y),上图中的垂直地址就是00H~31H,水平地址就是00H~15H,写地址时先写垂直地址(行地址)再写水平地址(列地址),也就是连续写入两个地址,然后再连续写入2个字节的数据。如图中所示,左边为高字节右边为低字节。为1的点被描黑,为0的点则显示空白。这里列举个写地址的例子:写GDRAM地址指令是0x80+地址。被加上的地址就是上面列举的X和Y,假设我们要写第一行的2个字节,那么写入地址就是0x00H(写行地址)然后写0x80H(列地址),之后才连续写入2个字节的数据(先高字节后低字节)。再如写屏幕右下角的2个字节,先写行地址0x9F(0x80+32),再写列地址0x8F(0x80+15),然后连续写入2个字节的数据。编程中写地址函数中直接用参数(0x+32),而不必自己相加。

读数据:先开启扩展指令集,然后写行地址、写列地址,假读一次,再连续读2字节的数据(先高字节后低字节)。

读写时序:

读写时序图如下:(上图为写,下图为读)

时序图中的信号引脚就是12864主要的引脚,分别是:

RS:命令/数据寄存器选择端

WR:读写控制端

E:使能端

DB7~DB0:数据端

所有对12864的 *** 作都是围绕着几根引脚展开的。包括写命令、写数据、读数据、读状态就是通过这些引脚的高低电平搭配来实现的。

根据时序图可以编写相应的写命令函数、写数据函数、读数据函数、读状态函数。需要的注意的是有效数据出现的那段时间Tc必须合适,不能太短,否则会造成读写失败。

函数示例可以 咨询  南京 罗姆液晶 !!!!

#include <AT89C51XD2.H>

#include <ABSACC.H>

#include <INTRINS.H>

#include "桥蠢迹common.h"

#define SCREEN_WIDTH 0x20

extern struct Pic *point_pic1

/********************************************************************************************/

#define COMMAND_PORT XBYTE[0x8001] //敏并定义命令端口地址

#define DATA_PORT XBYTE[0x8000]//定义数据端口地址

#define CODESTATE 0x01 //定档宴义检测位

#define DATASTATE 0x02

#define DATAAUTOREAD 0x04

#define DATAAUTOWRITE 0x08

#define CONTROLLER 0x20

#define SCREENCOPY 0x40

#define BLINKCONTITION 0x80

/********************************************************************************************/

//检查状态

volatile bit check_state(uchar check_bit)

{

uchar state

state=COMMAND_PORT

switch(check_bit)

{

case CODESTATE:

{

if(state&0x01)

return 1

else

return 0

}

case DATASTATE:

{

if(state&0x02)

return 1

else

return 0

}

case DATAAUTOREAD:

{

if(state&0x04)

return 1

else

return 0

}

case DATAAUTOWRITE:

{

if(state&0x08)

return 1

else

return 0

}

case CONTROLLER:

{

if(state&0x02)

return 1

else

return 0

}

case SCREENCOPY:

{

if(state&0x40)

return 0

else

return 1

}

case BLINKCONTITION:

{

if(state&0x80)

return 1

else

return 0

}

}

}

/********************************************************************************************/

//判断自动写

void check_auto_write(void) reentrant

{

while(!(check_state(DATAAUTOWRITE)))

}

/********************************************************************************************/

volatile void check_code_data(void) reentrant

{

while(!(check_state(CODESTATE)))

while(!(check_state(DATASTATE)))

}

/********************************************************************************************/

//指针设置

#define SET_CURSOR_POINTER 0x01 //设置光标位置

#define SET_CGRAM_POINTER 0X02 //设置CGRAM

#define SET_ADDRESS_POINTER 0x04

void set_point(uchar i,uchar data dat1,uchar data dat2) //向外部输出的数要定义在 data 区中

{

check_code_data()

DATA_PORT=dat1

check_code_data()

DATA_PORT=dat2

check_code_data()

COMMAND_PORT=(0x20|i)

}

/********************************************************************************************/

//设置显示模式

#define LOGIC_OR 0x00

#define LOGIC_XOR 0x01

#define LOGIC_AND 0x02

#define TEXT_CHAR 0x04

void set_display_mode(uchar dat)

{

check_code_data()

COMMAND_PORT=(0x80|dat)

}

/********************************************************************************************/

//显示区域设置

#define TEXT_ADDRESS 0x00 //设置文本区首址

#define TEXT_WIDTH 0x01 //设置文本区宽度

#define GRAPHIC_ADDRESS 0x02//设置图形区首址

#define GRAPHIC_WIDTH 0x03 //设置图形区宽度

void set_display_area(uchar i,uchar dat1,uchar dat2)

{

if((i==0x01)||(i==0x03))

dat2=0

check_code_data()

DATA_PORT=dat1

check_code_data()

DATA_PORT=dat2

check_code_data()

COMMAND_PORT=(0x40|i)

}

/********************************************************************************************/

//显示开关设置

#define CURSORBLINK 0x01

#define CURSORON 0x02

#define TEXTON 0x04

#define GRAPHICON 0x08

void set_display(uchar displaymode)

{

check_code_data()

COMMAND_PORT=(displaymode|0x90)

}

/********************************************************************************************/

//光标形状设置

//参数0-7

void set_cursor(uchar i)

{

check_code_data()

COMMAND_PORT=(0xa0|i)

}

/********************************************************************************************/

//自动读写定义

#define AUTOWRITE 0x00

#define AUTOREAD 0x01

#define AUTOSTOP 0x02

void set_autoreadwrite(uchar i) //reentrant

{

check_code_data()

COMMAND_PORT=(0xb0|i)

}

/********************************************************************************************/

//一次读写定义

#define write_address_add 0x00

#define read_address_add 0x01

#define write_address_sub 0x02

#define read_address_sub 0x03

#define write_address_nochange 0x04

#define read_address_nochange 0x05

/*&void set_once_read_write(uchar i,uchar letter)

{

check_code_data()

DATA_PORT=letter

check_code_data()

COMMAND_PORT=(0xc0|i)

}*/

/********************************************************************************************/

//屏读

/*void screen_read(void)

{

check_code_data()

COMMAND_PORT=0xe0

}*/

/********************************************************************************************/

//屏拷贝

/*void screen_copy(void)

{

check_code_data()

COMMAND_PORT=0xe8

}*/

/********************************************************************************************/

//位 *** 作

//#define set_bit 0x08

//#define clear_bit 0x00

/*void bit_operation(uchar op,uchar i)

{

check_code_data()

COMMAND_PORT=(0xf0|op|i)

}*/

/********************************************************************************************/

/*void print_lcd(uchar str,uchar x,uchar y)

{

unsigned int addr

addr=y*16+x

check_code_data()

DATA_PORT=addr

check_code_data()

DATA_PORT=addr>>8

check_code_data()

COMMAND_PORT=0x24

check_code_data()

DATA_PORT=str

check_code_data()

COMMAND_PORT=0xc4

}*/

/********************************************************************************************/

//显示字符

void text_display(uchar str) //reentrant

{

//set_point(SET_ADDRESS_POINTER,lowaddr,highaddr)

//set_once_read_write(write_address_add,str-0x20)

set_autoreadwrite(AUTOWRITE)

check_auto_write()

DATA_PORT=str-0x20

set_autoreadwrite(AUTOSTOP)

}

/********************************************************************************************/

//显示字符串

void string_display(uchar data *str,uchar lowaddr,uchar highaddr) //reentrant

{

set_point(SET_ADDRESS_POINTER,lowaddr,highaddr)

set_autoreadwrite(AUTOWRITE)

while(*str!='\0')

//set_once_read_write(write_address_add,str[i]-0x20)

{check_auto_write()

DATA_PORT=*str-0x20

str++

}

set_autoreadwrite(AUTOSTOP)

}

/********************************************************************************************/

//显示代码区中的字符串

void string_display_code(uchar num,uchar code *str,uchar lowaddr,uchar highaddr) //reentrant

{

uchar i

set_point(SET_ADDRESS_POINTER,lowaddr,highaddr)

set_autoreadwrite(AUTOWRITE)

if(num==0)

{

while(*str!='\0')

{

check_auto_write()

DATA_PORT=*str-0x20

str++

}

}

else

for(i=0i<NUMI++) if(lowaddr f0 0x1f #if(SCREEN_WIDTH="=0x20)" e0 ... haddr="0addr=0caddr=0" lowaddr="lowaddr+2" 3f 0x10 20 0x00 caddr="lowaddr" 1f .............0x10 10 0f ..............0x10 01 00 256*256液晶的地址 { 显示多少字因为一个字是16*16点阵,所以占32字节空间 for(k="0k<(num/32)k++)" data i,j,k,haddr,addr,caddruchar highaddr) lowaddr,uchar num,uchar *str,uint hanzi_display(uchar void 显示汉字 ******************************************************************************************** } set_autoreadwrite(AUTOSTOP)str++DATA_PORT="*str-0x20" check_auto_write()>0x1e) //换行

{

lowaddr=0x00 //低地址

highaddr=highaddr+2 //高位地址

}

#else

if(SCREEN_WIDTH==0x10) //注意不要和#else在一行

if(lowaddr>0x0e) //换行

{

lowaddr=0x00 //低地址

highaddr=highaddr+1 //高位地址

}

#endif

for(i=0i<16i++)

{

#if(SCREEN_WIDTH==0x20)

addr=caddr+32*i

if(i==8)

haddr++

#else

if(SCREEN_WIDTH==0x10)

addr=caddr+16*i

#endif

set_point(SET_ADDRESS_POINTER,addr,haddr)

set_autoreadwrite(AUTOWRITE)

for(j=0j<2j++)

{

check_auto_write()

DATA_PORT=*str

str++

}

set_autoreadwrite(AUTOSTOP)

}

}

}

/********************************************************************************************/

//清图形显示缓冲区

void clrgraphic(void)

{

uint j

set_point(SET_ADDRESS_POINTER,0x00,0x04)

set_autoreadwrite(AUTOWRITE)

for(j=0j<4096j++)

{

check_auto_write()

DATA_PORT=0x00

}

set_autoreadwrite(AUTOSTOP)

}

/********************************************************************************************/

//清字符显示缓冲区

void clrtext(void)

{

uint j

set_point(SET_ADDRESS_POINTER,0x00,0x30)

set_autoreadwrite(AUTOWRITE)

for(j=0j<1024j++)

{

check_auto_write()

DATA_PORT=0x00

}

set_autoreadwrite(AUTOSTOP)

}

/********************************************************************************************/

//图片显示

volatile void picture_display(Picture *point1,uchar lowaddr,uchar highaddr,bit inverse)

{

uchar data i,j,laddr

Picture *point

point=point1

laddr=lowaddr

CY=0

for(i=0i<(point->length)i++)

{

CY=0

if(i)

laddr=laddr+32

if(CY)

highaddr=highaddr+1

set_point(SET_ADDRESS_POINTER,laddr,highaddr)

set_autoreadwrite(AUTOWRITE)

for(j=0j<(point->width/8)j++)

{

if((laddr!=0x00)&&(j!=0)) //如果没j!=0,当laddr=0x20、0x40..时,显示不正常

if((laddr+j)%32==0)

{

(point->picturecode)=(point->picturecode)+(point->width/8-j)

break

}

check_auto_write()

if(!inverse)

DATA_PORT=*(point->picturecode)

else

DATA_PORT=~*(point->picturecode)

(point->picturecode)++

}

set_autoreadwrite(AUTOSTOP)

}

}

/********************************************************************************************/

//初始化lcd

void init_lcd(void)

{

//uint i,j

set_display_area(TEXT_ADDRESS,0x00,0x30)

set_display_area(TEXT_WIDTH,SCREEN_WIDTH,0x00)//因为3228是128*64,显示的字符为8*8,所以字符宽度为16

set_display_area(GRAPHIC_ADDRESS,0x00,0x00)

set_display_area(GRAPHIC_WIDTH,SCREEN_WIDTH,0x00)

set_display(TEXTON|GRAPHICON) //CURSORBLINK|CURSORON|

set_point(SET_ADDRESS_POINTER,0x00,0x00)

//set_point(SET_CURSOR_POINTER,0x4e,0x30)

set_display_mode(LOGIC_OR)

//set_point(SET_ADDRESS_POINTER,0x1c,0x2e)

}

顺便说几句,液晶的现实其实原理都是一样的,只是说带字库的编程要简单一些,一般来说,对lcd的编程包括以下几个步骤

1.读状态

2.读数据

3.写状态

4,写数据

5.初始化

只是说不带字库的点阵式lcd送数据的时候需要将数据的位置找出来再往里面送数据。

多看几个例子就可以了。很容易理解的


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/yw/12386328.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-25
下一篇 2023-05-25

发表评论

登录后才能评论

评论列表(0条)

保存