基于VHDL的八路彩灯控制器设计答辩会怎么问?

基于VHDL的八路彩灯控制器设计答辩会怎么问?,第1张

应该问一下你的功并扒能都有什么,所有的功能都实现了吗可以演示吗,实现过程中皮蔽败遇到了什么问题,你是如何解决这些问题的。

另外还可以扩展问一下vhdl的设计流程燃颤,从rtl设计到最后实现的流程。有testbench吗,都设计了什么test case。

1、 当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。

2、 8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。蠢脊

3、 当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒,并重复4次。

4、 只要控制开关为1,上述亮灯次序不断重复。

5、 用层次化设计方法设计该电路,编写各个功能模块的程带握渗序。

6、 仿真各功能模块,通过观察有关波形确认电路设计是否正确。

7、 完成电路设计后,用实验系统下载验皮迹证设计的正确性。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/yw/12410341.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-25
下一篇 2023-05-25

发表评论

登录后才能评论

评论列表(0条)

保存