C++语言画波形

C++语言画波形,第1张

画图形
首先你要确定用什么接口
如果是在windows下面,并且你有一定windows程序设计经历,可以选择使用GDI绘图
(GDI是什么百度下)
如果你不想学习GDI,也可以学习他的高级版本GDI+
如果你在Linux下面,我就不晓得有什么接口了,你可以百度下
确定下接口,就是画图具体步骤了,看你的问题,需要先解决函数方程
你用MATLAB写过,函数方程应该熟悉了
以我用过GDI的经验,这种图实际上y=f(t);x=g(t);t是时间,f(t),和g(t)是随时间变化的x,y坐标
当然一切前提会那个接口
祝你写的开心

Linux系统下画pcb的软件,有Eagle、Kicad、gEDA、Fritzing等。和windows下的Protel相比较,Eagle则更加强大。

Eagle很轻巧,个头很小,只有几十M,就其十分丰富的封装库,非同一般;其强大的功能,更是远远强于其它好几G的软件。就设计PCB电路板替代Protel而言,此工具绰绰有余。

gEDA从名字上理解,显得更加强大,比如可以电路仿真,多个工具组合在一起,每个工具只做最擅长的。

从手工制作原理图封装、PCB封装,到最后设计并布线完工,虽然开始很辛苦,但就其所有的文件都是文本格式,制作就象编程一般,写几句类似程序代码的东西,很快就变成图形。

KiCAD是发展最快的开源专业软件之一,完全可以替代Protel!简单 *** 作一下,没有Eagle(不开源)和gEDA(单面布件)的那样的硬伤。

扩展资料:

Linux *** 作系统注意事项:

1、Linux是大小写敏感的系统,举个例子,Mozilla,MOZILLA,mOzilla和mozilla是四个不同的命令(但是只有第四个mozilla是真正有效的命令)。

还有,my_filE,my_file,和my_FILE是三个不同的文件。用户的登录名和秘密也是大小写敏感的(这是因为UNIX系统和C语言的传统一向是大小写敏感所致)。

2、文件名最多可以有256个字符,可以包含数字,点号””,下划线”_”,横杆”-”,加上其他一些不被建议使用的字符。

3文件名前面带””的文件在输入”ls”或者”dir”命令时一般不显示。可以把这些文件看作是隐含文件,当然你也可以使用命令”ls_a”来显示这些文件。

4、“/”对等于DOS下的””(根目录,意味着所有其他目录的父目录,或者是在目录之间和目录和文件之间的一个间隔符号)。举个例子,cd/usr/doc。

5、在Linux系统下,所有的目录显示在单一目录树下(有别于DOS系统的驱动器标识)。这意味着所有的物理设备上的所有文件和目录都合并在单一的目录树下。

shell和turboc是不一样的。引用graphicsh这个的是turbo C,不过,turbo C不能在linux中使用。在Linux终端,你就查看linux所用的shell的颜色代码行了。

1、 *** 作系统是电脑的软件基础。它和电脑的硬件组成了系统。使电脑能够为人类工作。
2、所有的应用程序都是安装在 *** 作系统里面的, *** 作系统是一个基础性的软件。
3、用一个简单的比方来说明 *** 作系统、电脑硬件、应用软件之间的关系:电脑硬件就相当于土地一样, *** 作系统就像土地上的清水房子,而应用软件就像是房子里面的家具、人、水管。气管、电线、外墙颜色等等附属设施一样。都是为了更好的使用好房子。
4、同时不同的应用需要不同的工具,煮饭需要水电气、娱乐需要电视机、收音机等等;在电脑中也就是需要不同应用软件完成不同的工作,做图需要photoshop,Autocad,文字处理需要word,电子表格需要excel一样。

相信不少想学习linux的新手们正愁不知道看什么linux学习教程好,下面小编给大家收集和整理了几点比较重要的教程,供大家学习,如需想学习更多的话,可到wdlinux学堂寻找更多教程。1、什么是RPM包RPM 是 Red Hat Package Manager 的缩写,本意是Red Hat 软件包管理,顾名思义是Red Hat 贡献出来的软件包管理工具;在Fedora 、Redhat、Mandriva、SuSE、YellowDog等主流发行版本,以及在这些版本基础上二次开发出来的发行版采用;RPM包里面都包含什么?里面包含可执行的二进制程序,也就是编译好的执行程序,这个程序和Windows的软件包中的的Fedora Extras,全部是由linux社区维护的,并且基本是自由软件。所有的包都有一个独立的GPG签名,主要是为了您的系统安全。而对於Fedora core 4 的签名是自动导入并安装的。图形化前端Yum的图形化前端主要有yumex和kyum。它们并不是独立於Yum的,而是Yum的图形前端,也就是说在安装和使用Yumex和kyum同时,都是以Yum的安装和配置为基础;所以在用Yumex或kyum 时,必须先安装配置Yum。常用命令行命令安装软件(以foo-xxxrpm为例):yum install foo-xxxrpmyum常用命令删除软件:yum remove foo-xxxrpm或者yum erase foo-xxxrpm升级软件:yum upgrade foo或者yum update foo查询信息:yum info foo搜索软件(以包含foo字段为例):yum search foo其它参数参见man yum本文教程摘自wdlinux论坛,转载请注明!

首先,让我们来看看安装过程:
for modelsim:
1 下载modelsim for linux的下载文件。应该总共有三个文件,分别是base,docs和linuxexe。都是gz压缩的。
2 解压缩linuxexe,解出来的是可以在终端中直接运行的文件,运行之,并安装。
3 分别解压base和docs在同一目录下。
4 把这时候的modeltech目录(也就是解压缩和安装后形成的目录)移动到你希望程序所存放的目录下,我这里选的是/opt。
5 把windows上的文件拷贝到/opt/modeltech目录下。这个liense文件最好是在本机的windows环境下生成的。如果机器没有win环境,一定要把所使用的生成机器的mac改成自己机器的mac再用生成器生成。否则不可用。
6 在home下的bashrc中添加export LM__FILE=/YourInstallPath/YourFileName,然后source bashrc
7 进入安装目录下的linux,运行/vsim即可。
for ise and edk
1 首先,这个没有什么好说的,直接安装for linux平台的setup就行了。推荐把所有安装文件拷贝到本地硬盘上安装,这样快一些。
2 然后分别进入各自目录,运行settingssh,这个是环境变量的配置文件
3 一劳永逸的做法,应该是在用户目录的 bashrc 中将这两个sh配置文件都source进来。我用的是ubuntu,基于debian的,其它类型系统不一定有bashrc,具体是什么文件自行摸索,反正是用户配置文件。
然后介绍一些如何用modelsim快速编译xilinx库文件。网上有很多不同版本的方法,下面这种是比较方便的一种:
1首先将modelsimini文件只读模式去掉,存档前面打对勾。
2在您安装ise的目录下,进入到bin/linux目录下,例如xxx:ise6in t,确认有compxlib这个程序
3在终端中中运行compxlib -s mti_se -f all -l all -o xxxmodeltech_60xilinx_libs就可以了,xxx:modeltech_60是我安装modelsim的目录,您可以作相应的更改。参数也可以按照您的要求作相应的更改。
这样就搞定了。需要注意的是,如果你用的是windows系统,千万记住ise和modelsim的安装目录都不要出现空格,最好是直接安装在根目录下。
具体单独用modelsim进行behavioral model的方法是,打开modelsim,把你的代码和仿真代码文件加入,别忘了加入glblv文件。在哪里?自己找吧。然后编译,work库这些设置好后,命令行(modelsim里的)输入
vsim -L Xilinxcorelib_ver -L unisims_ver -L simprims_ver -lib work $yourtestname glbl
就可以了。
由于自己喜欢用vim编辑文件,感觉vim编辑verilog时比较不方便,vmake生成的makefile不是很全,所以花了一天晚上,总结了一个比较通用的,用于linux,unix环境的,适用于veirlog编程的make文件,和vim以及modelsim有机接合,可以编辑时直接编译,最后自动保存波形并观看。由于时间关系,以后再补充增加了ise处理命令的版本。
makefile如下:
EXECUTABLE := top_module #to be correct
MODULE := module_to_be_sim #to be correct
CC := vlog
CFLAGS := -reportprogress 300 +incdir+/include -work work -incr # add more option here if you want to compile with some library
COMPILE := $(CC) $(CFLAGS)
SIM := vsim
VIEW := vsim
TIME := -all
ACTION := "log -ports -internal $(EXECUTABLE)/;log -r $(EXECUTABLE)/$(MODULE)/;run $(TIME);quit"
SFLAGS := -c -do $(ACTION) -wlf $(EXECUTABLE)wlf
SIMULATE := $(SIM) $(SFLAGS)
SRCS := $(wildcard v )
all:$(EXECUTABLE)
$(EXECUTABLE):$(SRCS)
$(COMPILE) $(SRCS)
#run this when you compile the code the first time
start:
vlib work && vmap work work
sim:
$(SIMULATE) work$(EXECUTABLE)
$(VIEW) $(EXECUTABLE)wlf
clean:
rm -rf wlf && bg && pkill make && pkill vish
刚想出来,有待改进。下面是我思路的大致介绍
1 在机器上安装完modelsim(废话)
2 为你的工程建立一个文件夹,首先用make start建立好库文件。目录里面要包括源文件和测试文件。估计所有人都是这样的吧~~
3 如果目录不变,则以后的仿真都用这个work库就行了。但是如果工作目录变化的话,每一次都要重新运行一次make start,这样才能在当前目录下生成work目录,用以记录各种库中的各个模块信息。
4 直接make。从makefile中可以看出,调用的是vlog工具,打开了增量编译,因此在对任何一个源文件进行修改的时候,放心make就行了,速度很快,立刻提示错误,呵呵。如果你使用的语言是VHDL,要把vlog改成vcom。具体VHDI的命令行编译我没有研究,请参考 modelsim_cmd_ref_ugpdf这个官方文件。
5 之后用make sim进行波形仿真和查看,如果在testbench中用了display或者monitor这种语句的话,那么输出值会在终端中显示,还会保存在当前目录的transcript中。波形文件用vsim看,这是唯一需要打开gui的地方。其他时候全部命令行执行,效率高多了,自己感觉,呵呵。
我现在的问题是,只能从终端用vsim命令来启动modelsim,如果想把快捷程序图标加到面板上,属性设成 /opt/modeltech/linux/vsim(安装目录),怎么点都没有不能打开程序。由于无法直接让vsim在后台执行,所以要不然就手工关闭,要不就自己c+z后用make clean关闭,这样比较不方便,正在想改进办法,看能否不关闭gui而直接重新编译并看波形,这个也有待实验。我本来想用vsim xxwlf &的,在终端下可以,写进makefile就不行,还没有找到原因。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/yw/12605042.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-26
下一篇 2023-05-26

发表评论

登录后才能评论

评论列表(0条)

保存