23年各大行业赛道有哪些

23年各大行业赛道有哪些,第1张

医药、食品白酒等。
医药从18年到22年,医药集采已经经历了四年,药品以及高值耗材基本都涉及了集采,包括骨关节,心脏支架以及糖尿病肿瘤等药品和器械,以及未来即将落地口腔种植牙耗材集采等,集采短期降价很厉害,但流通环节减少了很多,对普通老百姓消费者还是大有裨益。食品白酒板块这三年疫情对他们的经营生产消费也是造成了很大影响,也是调整了将近两年,相信医药和食品白酒板块23年会有比较好的表现。
23年比较看好的主流行业赛道医药、食品白酒等大消费以及高端制造的工业机器人数控机床、芯片半导体的以及今年受疫情影响大持续在低位的风电。

自动驾驶系统进化,汽车电子电气E/E架构加速向域控架构迁移,驱使着芯片性能和结构快速升级。

域控处理器需要处理大量、视频等非结构化数据,同时还需要整合雷达、视频等多路数据。原有单一芯片无法满足诸多接口和算力需求,车载处理器算力呈现指数级提升,具备AI能力的主控SOC芯片成为了主流。

SoC芯片集成了CPU、AI 芯片(GPU/FPGA/ASIC)、深度学习加速单元(NPU)等多个模块,相对于单核处理器,异构多核SoC处理器在算力、性能、成本、功耗、尺寸等方面具备更明显的优势。

当前,在智能汽车领域已经聚齐了各路芯片玩家,英伟达、高通等近年来在汽车主控SOC芯片领域大举布局,分别针对ADAS、自动驾驶以及智能座舱领域推出了系列芯片,率先于传统芯片企业在各领域快速落地;瑞萨电子、恩智浦、德州仪器(TI)等传统汽车芯片企业不甘落后,面向智能驾驶领域积极跟进。

除了外资巨头,在国内还有华为、地平线、黑芝麻、芯驰、芯擎科技等一大批企业已经快速崛起,为自主品牌车企提供了更多选择。

综合来看,主控芯片正朝向异构多核、高集成、低功耗等更高性能的方向迈进,同时也推动了域控制器升级和量产落地,东软睿驰等Tier1企业也在芯片技术的变革之下,与合作伙伴展开更多、更深入的合作,这对电子电气架构发展和软件定义汽车带来了极具意义的影响。

一、来自不同层级市场的芯片需求

一场算力竞赛已经在各大芯片企业之间悄然兴起。

高级别自动驾驶系统需要面对更复杂更广泛的场景,伴随着域内融合和跨域融合,未来芯片不会局限于自动驾驶域的计算任务,还会逐渐跨域升级成整车中央计算平台,对算力的要求呈现指数级增长。

有数据显示,L2级自动驾驶的算力需求不到10TOPS即可,但要实现L3级自动驾驶的算力需求则要求不低于100 TOPS,而如果到L5级自动驾驶,整车的算力还需要翻十几倍。

公开资料来看,大部分芯片企业纷纷瞄准了下一代自动驾驶大算力芯片,并且公布了相应的量产规划。

英伟达已经推出的全新一代自动驾驶芯片Orin单颗芯片算力高达200TOPS,支持L3-L4,资料显示蔚来ET7、上汽R ES33、智己L7都将采用英伟达Orin芯片,量产计划在2022年。今年4月,英伟达还发布了算力高达1000TOPS的Atlan芯片,支持L4-L5,预计在2025年量产。

另一大芯片巨头高通最新推出的Snapdragon Ride平台支持L1-L5自动驾驶,支持多芯片叠加使用,L3以下的辅助驾驶提供30 TOPs算力,面向L4-L5的自动驾驶系统提供700 TOPs的算力,量产时间节点为2022年。

自主品牌中,华为自主研发的HUAWEI MDC 810算力可高达400+TOPs,面向L4-L5级自动驾驶。地平线征程5单颗芯片AI算力为128 TOPS,组成的智能计算平台AI算力覆盖200-1000 TOPS;黑芝麻智能今年全新推出的A1000Pro系列芯片,INT8算力达到106TOPS、INT4算力高达196TOPS。

除了面向L3及以上级别ADAS领域的高算力芯片,未来几年L2-L2+级ADAS市场的爆发,同样蕴藏着巨大的市场空间。

高工智能汽车研究院监测数据显示,今年1-8月国内新车(合资+自主品牌)前装标配搭载L2级辅助驾驶上险量为22427万辆,同比增长7842%;在搭载率方面,今年1-8月国内新车前装标配搭载L2级辅助驾驶搭载率为1703%。

未来几年L2会快速普及,L2+也会进入集中放量阶段,这意味着L2-L2+级ADAS市场有非常大的市场空间。

这种情况下,芯片并不需要过高的算力,但需要满足L2-L2+级别自动驾驶系统安全性和算力要求的同时,在成本、能效方面都具备明显优势。

以TI则推出的TDA4VM芯片为例,这款车规级芯片虽然算力仅有8TOPS,该芯片采用了多核异构的结构,配有包括Cortex A72、Cortex R5F、DSP、MMA等在内的不同类型处理器,由对应的核或者加速处理不同的任务。

该芯片在算力、功能安全方面均满足L2+级ADAS系统的需求。有业内人士指出,TDA4VM是当前面向L2级别ADAS系统性价比非常高的一款SOC芯片。

今年8月,东软睿驰推出的全新一代行泊一体化域控制器,正是基于TDA4VM芯片打造面向未来几年L2-L2+级ADAS市场一款具有代表性的域控产品。

通过一“芯”两用,该域控制器能够支持5路高清摄像头、5路毫米波雷达、12路超声波雷达接入,摄像头最高支持800万像素,提供包括单车道自动巡航,触发式自动换道、自动泊车、遥控泊车等28项L2/L2+级功能。得益于行车ADAS的传感器及域控制器的复用,全新一代行泊一体化域控制器相比传统1V1R+APA的技术方案成本节省20%-30%。

东软睿驰自动驾驶行泊一体域控制器所支持的自动驾驶功能

二、“芯”力量下:域控赛道的新趋势

伴随着芯片的快速发展,在芯片的下游环节—域控制器赛道也开始出现了新的趋势。

智能汽车的电子电气架构将历经分布式、域内融合、跨域融合、中央计算四个阶段,目前大多数厂商都启动了域内融合需求,开始将分散的域内数据计算进行集中化。

随着整车电子架构的升级,域控制器“打开”了传统的黑盒模式,同时带动低速泊车和高速行车两套过去并行ADAS系统的融合机会。

除了上述东软睿驰已经推出的全新一代行泊车一体域控制器,越来越多的ADAS供应商和芯片企业也将目光瞄准了这一领域。S32G域控制器芯片是恩智浦2020年推出,将传统MCU与具备ASIL D功能安全的高性能MPU集成在一颗芯片上,同时集成了网络通信加速,较之前单一功能芯片性能得到提升。按照恩智浦的说法,S32G处理器能够让OEM向域控制器架构演进,取代传统分布式架构。

东软睿驰基于S32G开发了一款面向整车的通用域控制器,具备丰富的接口协议和高算力硬件平台,可支持网关、车身域、动力域等独立控制器或融合控制器的应用。

S32G使用路径

通过这类通用域控制器可实现跨域融合,基于面向SOA的架构,在不同域中实现软件复用和功能的迁移,大大增强了平台的可拓展性,可移植性,对电子电气架构的集中化发展意义重大。

一直以来,芯片都处于快速发展变化的状态,而芯片与软件的高耦合,往往需要基于差异化的硬件进行大量的软件定制化,这使得上层应用开发和持续迭代变得异常困难。很显然,相对稳定的通用硬件平台,才是软件架构和上层应用持续稳定和快速繁荣的基础。

正如东软睿驰汽车技术(上海)有限公司总经理曹斌表示,能够把所有传感器集中在一起,并在传感器算法基础之上去迭代和创新,实现持续优化和进化的域控制器,才是智能汽车行业真正需要的。

他指出,这类域控制器需要基于较为完整和稳定的异构芯片作为底层架构,能够支持AI加速和GPU的支持,将满足需求的算力与分布式计算资源整合在一起,并且不断地被上层软件抽象且与底层芯片实现有机解耦,才能真正形成集中化并且可持续迭代升级的域控制器。

当前越来越多核异构SOC芯片的出现,在满足基本功能算力需求的前提下,硬件架构、功能框架和划分将有望形成相对通用化和稳定的状态。

基于这类通用化的硬件架构,实现软硬件分层解耦,逐渐形成了AUTOSAR、AP+CP+中间件的清晰稳定的基础软件架构,上层应用的快速实现与持续的迭代升级才能够实现。

这对软件定义汽车来说,可以说是非常关键性同时也是极具标志性的阶段。

中国网/中国发展门户网讯RISC-V,即第五代精简指令集,是一种基于精简指令集计算机(RISC)原理的开源指令集架构(ISA),由美国加州大学伯克利分校研究团队于 2010 年设计。相对于 X86 指令集的完全封闭及 ARM 指令集高昂的授权使用费,RISC-V 指令集通过支持自由开放的指令集体系架构及架构扩展以提供软件和硬件自由。RISC-V 的主要优点为完全开源、架构简单、易于移植、模块化设计,以及具有完整的工具链。

处理器芯片是中国半导体产业的软肋,是中国半导体产业面临的“卡脖子”问题。近年来,国内芯片领域学术界和产业界都在积极 探索 实践,力求突破。中国在芯片研发领域的 4 个技术关卡分别为光刻机、电子设计自动化(EDA)软件、晶圆和指令集。由此可见,开源 RISC-V 指令集架构对我国在芯片指令集方面技术破围意义重大。我国有望通过 RISC-V 摆脱国外的指令集垄断,打破技术封锁。

RISC-V 自诞生以来取得了突飞猛进的发展,随着物联网、5G 通信、人工智能等技术的兴起,物联网和嵌入式设备成为 RISC-V 最先落地的领域和最大的应用市场。各国研究机构及企业纷纷加入研究和开发行列,RISC-V 不仅打破了现有指令集架构环境下英国 ARM 公司和美国Intel公司的两强垄断格局,而且建立了一个开放的生态及框架来推动全球合作和创新。

主要国家战略举措及特点

美国强调 RISC-V 指令集在智能装备芯片领域的战略应用。2017 年 6 月,美国国防高级研究计划局(DARPA)启动“电子复兴计划”(Electronics Resurgence Initiative),该计划旨在解决半导体制程瓶颈以应对半导体产业快速发展的挑战。“电子复兴计划”连续多年对 RISC-V 指令集的研究和产业化应用给予专项支持。其中,实现更快速集成电路项目、Posh 开源硬件项目和电子资产的智能设计项目明确指明需要基于 RISC-V 指令集进行开发。2021 年 3 月,SciFive 公司与 DARPA 达成开放许可协议授权,SciFive 加入“DARPA 工具箱计划”(DARPA Toolbox Initiative)为 DARPA 项目参与者提供基于 RISC-V 的32 位和 64 位内核访问,以支持 DARPA 项目中应用程序和嵌入式应用的研发。

欧盟注重 RISC-V 与高性能计算的结合。2018 年 12 月,欧盟推出“欧洲处理器计划”(European Processor Initiative),拟开发面向欧洲市场的自主可控低功耗微处理器,降低欧洲超级计算行业对外国 科技 公司的依赖。其中,“欧洲处理器加速”(European Processor Accelerator)项目作为该计划的重要组成部分,其核心是采用免费和开源的 RISC-V 指令集架构,用于在欧洲境内开发和生产高性能芯片。2021 年 9 月,该项目的最新成果是交付了 143 个欧洲处理器加速芯片样本,这些加速芯片专为高性能计算(HPC)应用程序设计。此外,2021 年 1 月开始的 Euro HPC eProcessor 项目旨在基于 RISC-V 指令集体系架构构建一个完全开源的欧洲全堆栈生态系统以适用于 HPC 和嵌入式应用。

印度将 RISC-V 指令集定位为国家事实指令集。2011 年,印度开始实施处理器战略计划,每年资助 2—3 个处理器研究项目。该计划下的 SHAKTI 处理器项目旨在开发第一个印度本土的工业级处理器;其目标是研制 6 款基于 RISC-V 指令集的开源处理器核,其中涵盖了 32 位单核微控制器、64 核 64 位高性能处理器和安全处理器等。2016 年 1 月,印度电子信息技术部资助 4 500 万美元研制一款基于 RISC-V 指令集的 2 GHz 四核处理器。2017 年,印度政府表示将大力资助基于 RISC-V 的处理器项目,使 RISC-V 成为印度的国家事实指令集。2020 年 8 月,印度政府在全国发起“微处理器挑战”(Microprocessor Challenge)项目,以推动 RISC-V 微处理器的自主研发,提高国家的半导体设计和制造能力。

以色列、巴基斯坦、俄罗斯寻求多元化指令集架构共同发展。2017 年,以色列国家创新局成立 GenPro 工作组,旨在开发基于 RISC-V 的快速、高效且独立的处理平台。2019 年,巴基斯坦政府宣布将 RISC-V 列为国家级“首选架构”(preferred architecture)。2021 年,俄罗斯公布了一项以 RISC-V 部件为中心的国家数字化计划,该计划基于俄罗斯自研 Elbrus 芯片进行 RISC-V 部件扩展研究。

中国试图通过 RISC-V 打破芯片领域技术封锁。2021 年,在《中华人民共和国国民经济和 社会 发展第十四个五年规划和 2035 年远景目标纲要》中,我国首次明确将“开源”列入五年发展规划;“十四五”期间,将支持数字技术开源社区等创新联合体发展,完善开源知识产权和法律体系,鼓励企业开放软件源代码、硬件设计和应用服务。同时,各级政府也积极布局 RISC-V 架构芯片。2018 年 7 月,上海市经济和信息化委员会发布的《上海市经济信息化委关于开展 2018 年度第二批上海市软件和集成电路产业发展专项资金(集成电路和电子信息制造领域)项目申报工作的通知》将 RISC-V 相关产业列入政府产业扶持对象,而从事 RISC-V 架构相关设计和开发的公司将获得政策倾斜。2020 年 2 月,广东省人民政府办公厅印发的《加快半导体及集成电路产业发展若干意见的通知》中明确将 RISC-V 芯片设计列入广东省重点发展方向。2021 年 11 月,北京市委市政府印发《北京市“十四五”时期国际 科技 创新中心建设规划》,明确指出要研发基于 RISC-V 的区块链专用加速芯片,进一步提高芯片集成度,提高大规模区块链算法性能。

我国 RISC-V 架构芯片领域的重要研究方向态势与热点

学术界和产业界日益重视 RISC-V 的安全体系结构设计及验证。处理器安全对设备隐私信息的保护至关重要;设计 RISC-V 安全处理器及安全验证是 RISC-V 领域乃至体系结构领域的研究热点。特权模式和物理内存保护是安全嵌入式处理器的必备特性,RISC-V 指令集架构也采用特权模式来保障处理器的安全;同时,该架构提供了物理内存保护单元(PMP)实现内存访问控制以保证内存安全。其中,北京信息 科技 大学和清华大学微电子学研究所焦芃源等以一款 32 位 RISC-V 安全处理器为研究对象,通过异常处理程序对处理器状态、异常信息进行观测,提出了一套 RISC-V 特权模式和物理内存保护功能的测试方案;天津大学微电子学院刘强等设计了一种抗功耗分析攻击的 RISC-V 处理器的实现方法;上海交通大学并行与分布式系统研究所开发了基于 RISC-V 架构的全新可信执行环境“蓬莱”。同时,产业界许多公司以扩展硬件 IP 模块的方式推出安全解决方案,包括加密库、信任根、安全库等。

深耕物联网等新兴领域,特定领域专用 RISC-V 芯片蓬勃发展。当前,X86 和 ARM 两大指令集分别主宰了服务器+个人电脑(PC)和嵌入式移动设备;同时,物联网(IoT)、智联网(AIoT)等应用领域正在为 RISC-V 的发展提供新的机遇。RISC-V 架构能为物联网行业带来显著的灵活性和成本优势,同时也能推动异构计算系统的快速发展,因而能够适应智能物联网时代下的大容量万亿设备互联,场景丰富及碎片化和多样化需求。RISC-V 在加速和专用处理器领域,主要应用包括航天器的宇航芯片设计,面向物联网的智能芯片,面向安全的芯片,用作服务器上的主板管理控制器,以及图形处理器(GPU)和硬盘内部的控制器等。学术界,如中国科学院计算技术研究所(以下简称“计算所”)泛在计算团队,开展了基于 RISC-V 核心的轻量级神经网络处理器的研究, 探索 了 RISC-V 内核在物联网设备中的应用;上海市北斗导航与位置服务重点实验室则开展了基于 RISC-V 指令集的基带处理器扩展研究项目。而产业界则在控制领域与物联网领域涌现出大量的基于 RISC-V 的产品和应用案例。例如,阿里平头哥半导体有限公司的开源玄铁 RISC-V 系列处理器已应用于微控制器、工业控制、智能家电、智能电网、图像处理、人工智能、多媒体和 汽车 电子等领域。

寻求突破物联网生态, 探索 进入服务器、高性能处理器领域。目前,RISC-V 的研究及应用领域主要集中在以物联网为基础的工业控制、智能电网等多场景。但 RISC-V 因其本身低功耗、低成本特性,具备进入服务器、高性能领域的潜力。服务器定制化及 HPC 对加速和异构平台的需求增加,为 RISC-V 进入服务器和 HPC 领域提供了机会。计算所包云岗提出产业界可利用 AMD 公司的 Chiplet(小芯片)方式将中央处理器(CPU)、加速、输入/输出(I/O)放在不同晶圆上,其中 CPU 部分使用 RISC-V 架构,用 Chiplet 方式组成一个服务器芯片,以进入服务器市场。2021 年 6 月,计算所包云岗团队推出“香山”开源高性能 RISC-V 处理器核。它第一版架构代号“雁栖湖”,基于 28 nm 工艺流片。这标志着在计算所、鹏城实验室的技术支持下,国内发起的高性能 RISC-V 处理器开源项目正式诞生。

我国发展 RISC-Ⅴ 架构芯片的问题与建议

适当聚焦 RISC-V 架构,加快发展中国芯片产业体系。目前,国内处理器产业及科研领域所采用的指令集包罗万象,学术界和产业界基于 ARM、MIPS、PowerPC、SPARC、RISC-V、X86 等多种指令集进行了扩展。但多样化的指令集必然会分散基础软件开发力量,导致编译、 *** 作系统等基础软件开发者由于精力有限而无法兼顾多种指令集的优化,延缓自主生态的建设。近几年,随着 RISC-V 基金会从美国迁至瑞士,其治理架构发生重大变化,我国科研机构和企业在 RISC-V 基金会理事会高级别会员的比例显著提高。我国在 RISC-V 生态中的影响力日益增长,这为我国芯片产业的发展提供了新的机遇,以及开发新赛道的可能性。建议:我国在目前暂无成熟自主指令集架构的情况下,应抓住开源 RISC-V 架构兴起的机遇,调整芯片领域技术路线和产业政策,适当聚焦 RISC-V 架构,加快发展中国芯片产业体系。

促进 RISC-V 在处理器教育领域的应用,培育芯片设计人才。芯片领域的创新门槛高、投入大,严重阻碍了领域创新研究。芯片设计及制造的多个环节都需要巨额的资金与大量的人力投入。这种高门槛导致人才储备不足,因此如何能够降低芯片设计门槛成为亟待解决的问题。RISC-V 的开源性降低了创新投入门槛,发展开源芯片/硬件成为中国培育设计人才的新发展模式。2019 年 8 月,中国科学院大学启动了“一生一芯”计划,其目标是通过让本科生设计处理器芯片并完成流片,培养具有扎实理论与实践经验的处理器芯片设计人才。该计划是国内首次以流片为目标的教育计划,由 5 位 2016 级本科生主导完成一款 64 位 RISC-V 处理器 SoC 芯片设计并实现流片。事实上,学生是 RISC-V 整个生态建设中不可或缺的力量;包括上海 科技 大学在内的许多国内院校都在与企业一同培养人才,通过课程作业设计与企业研发相关联,将企业最新的技术及时引入课堂,充分发挥开源化的优势。建议:国家教育管理机构应当积极推进 RISC-V 产学相结合的发展模式,培育更多芯片设计人才。

(《中国科学院院刊》供稿)

行业主要上市公司:华大九天(301269)、概伦电子(688206)、广立微(301095)、华润微(688396)等。

本文核心数据:全球EDA市场规模;中国EDA市场规模;中国EDA销售量

行业概况

1、EDA定义及分类

EDA是电子设计自动化(Electronic Design
Automation)软件的简称,是指利用计算机辅助设计(CAD等)软件,来完成超大规模集成电路(VLSI)芯片的功能设计、综合、验证、物理设计(包括布局、布线、版图、设计规则检查等)等流程的设计方式。

EDA工具包括硬件和软件两部分。软件是工具的核心,分为仿真工具、设计工具、验证工具三种类型;硬件是用来加速仿真、验证速度的服务器和专用工具。

2、产业链剖析

EDA行业衔接集成电路设计、制造和封测,对集成电路行业生产效率、产品技术水平有重要影响。从集成电路设计的角度看,设计人员必须使用EDA工具设计几十万到数十亿晶体管的复杂集成电路,以减少设计偏差、提高流片成功率及节省流片费用。从集成电路制造的角度看,芯片制造工艺不断演进,而新材料、新工艺相关的下一代制造封测EDA技术将给集成电路性能提升、尺寸缩减带来新的发展机遇。EDA工具贯穿集成电路设计及制造所有流程。

EDA行业的上游主要包括硬件设备、 *** 作系统、开发工具及其他辅助性软件等供应商。EDA行业中游为EDA企业。EDA行业的下游主要包括集成电路设计、制造、封测企业。

EDA行业的上游硬件设备代表性企业有苹果、惠普、戴尔等; *** 作系统代表性企业有微软、苹果等;开发工具代表性企业有微软、甲骨文等;辅助性软件代表性企业有IBM、金蝶国际软件集团等。

EDA行业的中游EDA代表性企业有Synopsys、Cadence、Siemens EDA、华大九天、概论电子等。

EDA行业的下游芯片设计企业有英特尔、三星、华为海思、紫光集团等;晶圆制造代表性企业有台积电、中芯国际、三星等;封测代表性企业有美国安靠、联合科技、nepes等。

行业发展历程

我国EDA行业从20世纪80年代中后期才真正开始,较全球EDA行业的发展晚了十年,并且自1986年国产集成电路计算机辅助设计系统“熊猫系统”诞生之后的第二个十年,国内EDA行业并未有实质性的成功。直到21世纪初,在国家政策支持下,国内EDA产业才陆续展露出新的生机。2015年至今,国家大力推进半导体与集成电路产业的发展,EDA行业应势发展。

行业政策背景

EDA的发展水平代表着一个国家在半导体领域的“软实力”。为了加快拉近与发达国家EDA研发水平的差距,中国积极推进中国EDA的发展,近年来发布了多项鼓励支持政策。

从前瞻统计得到的各省市政府部门出台的与EDA发展相关的政策数量来看,广东省、江苏省、上海市、北京市等对EDA行业发展有较多政策支持,其中北京市将EDA工具的发展重点布局于海淀区,上海市布局大力发展集成电路设计产业,培育国家级EDA平台,广东省引进培育一批集成电路设计、EDA工具研发和IP核设计服务领域的龙头企业。,江苏省则逐步构建集成电路设计、制造和封装测试协同发展格局。

行业发展现状

1、全球EDA行业现状

EDA在集成电路产业领域内属于“小而精”的产业链环节。随着大规模集成电路、计算机和电子系统设计技术的不断发展,EDA技术发挥的作用正以惊人的速度上升。根据电子系统设计(ESD)联盟的数据显示,2021年全球EDA市场规模为13275亿美元,同比增长1577%,2020-2025年年均复合增速为1471%。

2、中国EDA行业现状

EDA行业入门门槛高,成本d性大,对性能依赖性强,因此行业进入壁垒较高,中国本土有实力的EDA企业并不多。目前,中国市场上主要EDA软件供给企业包括华大九天、芯禾科技、广立微、九同方微、博达微、概伦电子、创联智软等。这些企业虽然在全流程产品上和海外巨头还有不小的差距,但在具体业务上各有所长。

近几年中国网络信息技术行业快速发展,给EDA软件行业带来了巨大的市场需求。中国EDA软件需求广泛,各大通信企业如华为、中兴等以及电子企业如天弘电子、宏碁乃至互联网公医药公司等,均对EDA软件有广泛的需求,所需求的EDA软件包括Allegro、PADS、AD等。然而,由于EDA三巨头几乎在所有细分领域都有产品涉及,这也造成了中国本土企业突围难的困难局面。

作为芯片领域最上游的产业,EDA在集成电路产业领域内属于“小而精”的产业链环节,并应用于集成电路设计及制造的所有环节。EDA市场需求与集成电路行业的发展状况紧密相关。近些年来,在国家政策扶持以及市场应用带动下,2010年以来,中国集成电路产业保持快速增长。2021年中国集成电路产业继续保持增速全球领先的势头,全国集成电路销售额达到10458亿元,同比增长182%。受到下游集成电路市场需求拉动,2016-2021年中国EDA行业市场规模逐年增长,根据中国半导体行业协会公布的数据,2020年中国EDA行业市场规模达到931亿元,初步统计2021年中国EDA市场规模突破100亿元。

行业竞争格局

1、中国EDA企业竞争格局

中国EDA行业企业大致分为三个梯队。第一梯队的企业是以新思科技(Synopsys)、楷登电子(Cadence)、西门子EDA(Siemens
EDA)为代表的国外EDA行业;第二梯队是国内市占率相对较高的EDA本土企业,包括华大九天、概伦电子、广立微等;第三梯队是近两年以来在EDA赛道上发生融资事件的企业,这些企业都在为中国EDA行业发展做贡献。

2、中国EDA区域竞争格局

根据中国企业数据库企查猫,目前中国EDA企业主要分布在广东省、江苏省、浙江省、北京市和上海市。截至2022年9月,广东有EDA行业相关企业数超过50家,排在第一位,代表企业有比昂芯、贝思科尔、鸿芯微纳、海思半导体、中兴微电子等,浙江省EDA企业有广立微、芯起源等;江苏省EDA行业企业有泓泰软件、芯华章科技,北京市EDA行业企业有华大九天、芯愿景等;上海市EDA行业代表企业有概伦电子、立芯软件、思尔芯等。

行业发展前景及趋势预测

EDA软件工具半导体与集成电路发展中必不可少的工具。尽管中国EDA行业起步慢,与全球相比发展仍然处于初始水平,同时复杂的国际形势对中国半导体与集成电路发展充满不利因素,但中国在近年来对EDA行业的发展从政策导向、下游需求推动,以及通讯基础设施的建设对EDA行业发展都带来有利影响。总体而言,中国EDA行业发展势在必行。

结合中国实际情况,面向EDA工具领域,驱动EDA工具市场规模提升的有利条件包括我国半导体产业总规模的快速扩张、设计企业从市场中低端向中高端转型过程中对EDA工具需求量的大幅提升、在建产线的陆续投产、行业市场实体数量的持续增加等。初步预测到2027年中国EDA行业市场规模将突破150亿元。

更多本行业研究分析详见前瞻产业研究院《中国EDA软件行业市场前瞻与投资规划分析报告》。

数字芯片是半导体行业里市场空间最大,技术壁垒最高的赛道。之前我们分析过的那些尖端设备和材料,主要都是为数字芯片打造的。

目前芯片设计这些赛道里,IGBT和模拟芯片领域都有IDM厂商,但数字芯片很少有做全产业链的,大家专注于自己的环节,分工合作。

这是因为IGBT和模拟芯片虽然技术和资金壁垒也很高,但生命周期长。数字芯片的发展却遵循摩尔定律,不但研发需要大量资金,晶圆代工需要大量资本购买设备,迭代又非常快。

等你把这一代产品全都配置好了,人家下一代产品又出来了,还得接着追,这就是数字芯片最难的地方。

数字芯片的工作原理简单来说就是通过晶体管控制电流的“开”和“关”,来表达数据信息的“1”和“0”,或者逻辑判断的“是”与“非”,所以数字电路也称开关电路或逻辑电路。

其组成主要就是工作在开关状态的晶体管,所以数字芯片的规模大小由其中的晶体管数量决定,摩尔定律说的也是每隔18个月晶体管数量增加一倍,因此晶体管数量对数字芯片性能起决定性作用。

数字芯片包含七种类别,分别是逻辑电路、通用处理器、存储器、单片系统SoC、微控制器MCU、定制电路ASIC和可编程逻辑器件。将来我们会对其中主要类别进行逐个分析。

简单的逻辑电路通常由门电路构成,基本是由与门、或门和非门电路排列组合而成,这些系列的电路也称为组合逻辑电路。

数量庞大的逻辑电路芯片经过不同的排列组合,理论上可以处理非常复杂的控制和运算问题。

但当下的芯片集成度很高,许多自成系统的逻辑电路可以集成在芯片内部,一个芯片就可以实现复杂的功能,也就没人愿意用大量小芯片去实现一个大系统。

所以目前逻辑电路芯片仅用于小型电子产品中,以及在大系统的通用大芯片之间的连接电路上。

通用处理器一般指服务器用和桌面计算用的CPU芯片,也包括GPU、DSP、APU等。

它是规模最大、结构最复杂的一类数字电路芯片,由海量逻辑电路组成,包含了控制、存储、运算、输入输出等完整的数据和信息处理系统,这次我们先分析CPU这一细分领域。

01 什么是CPU

CPU也叫中央处理器,是计算机的运算和控制中心,主要功能是完成计算机指令的执行和数据处理,因此CPU与内部存储器、输入输出设备被认为是计算机三大核心部件。

控制单元是CPU的控制中心,当下达指令时,控制单元负责将存储器中的数据发送至运算单元并将运算后的结果存回存储器中。

运算单元负责执行控制单元的命令,进行算术运算和逻辑运算。

存储单元是CPU中数据暂时存储的位置,其中寄存有待处理或者处理完的数据。寄存器相比内存可以减少CPU访问数据的时间,也可以减少CPU访问内存的次数,有助于提高CPU的工作速度。

按照处理信息的字长,CPU可分为四位微处理器、八位微处理器、十六位微处理器、三十二位微处理器以及六十四位微处理器等,后续还在不断拓展。

CPU作为集成电路的一部分,现在全球集成电路市场受益于5G、可穿戴设备和云服务等应用领域发展,依旧在稳步增长。

中国是全球最大的集成电路市场,增速也是全球最快,2012-2020年九年间集成电路产业市场规模复合增长率达到1681%。

集成电路进出口市场上,我国存在较大逆差,而且逆差还在拉大,国产化替代空间广阔。

CPU的下游市场涵盖服务器、桌面端、移动 PC端、智能手机以及物联网、人工智能、 汽车 电子、智能穿戴等新兴应用领域。

目前桌面端和移动PC端发展平缓,服务器受益于云化趋势增速较快,智能手机受益于5G换机潮迎来一波周期性机会,行业中长期发展还得看那些新兴领域,但新兴领域并不完全是CPU的增量市场,比如新能源 汽车 。

目前全球新能源 汽车 销量持续增长, 汽车 三化(电动化、智能化、共享化)势不可挡,电子成本占总成本的比率逐步提升,发展空间很大,2021年全球 汽车 芯片市场规模预计可达到440亿美元。

按应用场景划分,车用计算芯片可以划分为智能座舱芯片和自动驾驶芯片、车身控制芯片。

由于单纯一个的CPU已经无法满足智能 汽车 的算力要求,将CPU与GPU、FPGA、ASIC等通用或专用芯片异构融合的SoC方案成了各大AI芯片厂商算力竞争的主赛道。

不仅智能 汽车 ,在物联网和人工智能等领域,传统CPU也出现了不能适应市场要求的情况。

随着物联网设备灵活性要求日益提高,芯片向低功耗、高性能方向发展,MCU和SoC脱颖而出。

人工智能常用的AI芯片通常是针对人工智能算法做了特定加速设计的芯片,如GPU、FPGA、ASIC和神经拟态芯片。

虽然深度学习算法上CPU不如AI芯片,但做大规模推理,CPU比较有优势,再加上CPU优势领域的市场空间广阔,应用场景丰富,国内 科技 企业持续研发国产CPU依然势在必行。

目前CPU主要市场份额仍在海外企业手中。随着国内技术进步,国内CPU也在变得更好用,再加上政策持续加码,国产替代确定性较高。

02 CPU芯片架构

芯片架构也叫指令集架构,简单来说就是芯片的执行流程,不同指令集架构的芯片就是执行步骤的不同。

目前CPU指令集架构主要分为复杂指令集(CISC)和精简指令集(RISC)两大类。

复杂指令集支持的指令更多,每种运算都有自己的完整指令。由于只有少部分指令会反复使用,精简指令集就是对其进行精简,不用每种运算都有完整指令。

复杂指令集更适用于运算复杂的电脑CPU,精简指令集更适用于运算要求较低,功耗也较低的手机CPU。

在这两种指令集基础上又产生了不同的架构,也就是在指令集基础上实现对CPU内的控制单元、运算单元、存储单元等部件的一系列完整设计和安排。

03 X86架构

CISC的架构主要就是X86架构,目前Intel和AMD两家独大。

Intel和Windows组成了“Wintel”联盟,击败了苹果、IBM、摩托罗拉的Power联盟,垄断桌面市场长达20多年。直到目前,服务器、桌面和移动PC主要使用的还是X86架构处理器,Intel依然占据大部分市场。

后来随着AMD第二代Epyc处理器“罗马”问世,AMD服务器CPU市占率在短短两年内从1%增长到了8%。接着第三代Epyc处理器“米兰”发布,其服务器市场份额有望达到15%。

由于AMD服务器芯片性价比较高,又有台积电7nm制程技术加成,越来越多数据中心开始采购AMD的产品。

X86架构之所以覆盖范围这么广,除了起步早、性能高、兼容性好之外,还跟它生态完善有关,目前全球65%以上的软件开发商都为X86提供服务,你想自己设计一个架构,没有生态也就没有人使用。

现在X86架构在中国市场依然广阔,尤其是在服务器领域具有绝对优势,几乎占据全部服务器销量。其他非X86架构的服务器占比很小,主要都是ARM架构。

除了Intel和AMD双寡头以外,国内还有兆芯、海光和MPRC几家X86芯片商。目前X86架构的国产化替代还不太明显,兆芯2019年市占率仅01%。

04 ARM架构

RISC的架构有ARM、MIPS、Power PC、Alpha、RISC–V等。

如今超过90%的智能手机采用ARM架构,MIPS在嵌入式设备中应用广泛,而且随着性能提升,技术层面的融合,RISC架构也在不断向X86的应用领域渗透。

ARM架构由于具有成本低、功耗低、体积小、性能高等特点,非常适用移动通讯领域,在智能手机、调制解调器、车载信息设备、可穿戴设备等领域都占据绝对统治地位。

目前ARM架构是非X86架构中应用最广泛,发展最成熟的架构,市占率达到了432%。

ARM完整产品线包括微控制器、微处理器、圆形处理器、实现软件、单元库、嵌入式内存、高速连接产品、外设以及开发工具。

目前国内外主要ARM厂商有ARM、联发科、高通Qualcomm、苹果、三星电子,飞腾、华为鲲鹏、展讯SPREAD TRUM。

世界各大半导体生产商从ARM公司购买其设计的ARM微处理器核,根据各自不同的应用领域,加入适当的外围电路,从而形成自己的ARM微处理器芯片进入市场。

联发科是世界上最大的ARM手机芯片供应商,苹果、三星、高通等行业巨头均在最近几年使用ARM架构,逐步实现基于ARM的全生态链。

截至2021Q1,联发科和高通是最主要的手机CPU供应商,市场份额分别为35%和29%,同比分别增长11%和-2%。

苹果市占率为17%,三星降至9%,华为海思由于受到美国升级制裁的影响,市场份额快速下滑,降至5%。

服务器方面,非X86目前参与者包括华为、飞腾、高通、亚马逊等。

华为鲲鹏服务器是ARM服务器的重要参与者,据华为称,鲲鹏出货量已占据市场50%,未来有望发挥其在移动市场的优势,借力云端协同,抢占服务器市场更多份额。

在桌面PC市场,ARM正逐渐被更多企业应用,2011年微软开始采用ARM的Windows系统,ARM开始进入X86的传统优势领域,如今苹果MacOS、新版Windows等均采用了ARM架构。

此外,ARM在物联网、 汽车 等领域均有很大发展潜质。ARM在公共事业、智慧城市、资产管理等领域均提供了解决方案。

05 MIPS等架构

MIPS、Alpha、Power等架构已经不是市场主流应用,但在特定领域内仍在被使用。

MIPS架构是一种简洁、优化、具有高度扩展性的RISC架构,能够提供最高的每平方毫米性能和当今SoC设计中最低的能耗,已经在移动和嵌入式工业领域销售了近三十年,目前市占率9%。

MIPS多线程CPU已经广泛应用于不同领域,以及许多移动设备的LTE调制解调器中。

国内外主要MIPS芯片商主要有MIPS公司、Ikanos、龙芯中科、北京君正。不过MIPS公司两度易主后,新公司已经转向RISC-V。

龙芯和申威分别获得MIPS及Alpha永久授权发展自主指令集,我国企业成为了该架构应用产品研发和全球生态构建的单一力量,应用的也都是国家非常注重安全的领域。

Power架构在相关市场的占有率也不过1%左右,但在高性能计算领域一直拥有相当重要的地位,其一些技术特性甚至可与Intel一较高下,然而市场参与者基本只有IBM。

06 RISC-V架构

RISC–V是目前业内最被看好,最有机会弯道超车的新架构,具有完全开源、架构简单、易于移植,适用于各种设备、完整工具链, 运行效率高等特点。

这种架构目前接受度逐渐提高,有望成为继X86和ARM架构之后第三大主流指令集架构。

由于RISC-V基金会为非盈利会员制组织,所以RISC-V本身是免费的,自 RISC-V 基金会于 2015 年成立以来,RISC-V 生态系统经历了爆炸式增长,2020年成员增长率达到133%。

物联网的兴起为上游产业链提供新的成长潜力,由于RISC-V具备开源等特性,与物联网更灵活和多样的要求相吻合。

而且自中美贸易战以来,中国企业存在受制于美国不能升级架构的风险,随着RISC-V逐渐被接受,为我国芯片厂商通过RISC-V架构实现独立自主提供可行性。

Semico Research 预测,到 2025 年,市场将消耗 624 亿个 RISC-V CPU 内核,2018-2025 年复合年增长率为 1462%。其中工业领域将以使用超过167亿个内核遥遥领先。

市场研究公司Tractica也预测,RISC- V的IP和软件工具市场在2018年为5200万美元,到2025年时将增长至 11亿美元。

目前RISC-V发展时间较短,尚未一家独大,相关生态还在发展。

短期内ARM架构依然会占据中高端市场,RISC-V主要在一些碎片化的新兴市场展开应用,如物联网的轻终端场景。

这些场景需要低功耗低成本,但是往往程序不用大改、对软件生态的依赖性不高、出货量又很大,符合RISC-V阶段性的发展目标。

RISC-V允许任何厂商设计、制造和销售RISC-V芯片和软件,因此吸引了大批 科技 公司入场。

GreenWaves、IBM、NXP、西部数据、英伟达、高通、三星、谷歌、华为、晶心 科技 、芯源股份、芯来 科技 、阿里平头哥、中天微、Red Hat 与特斯拉等100 多家 科技 公司加入其阵营。

07 国产CPU自主可控程度

国产CPU经历了将近20年的发展,也产生了一批有实力的企业,如前面提到的中科龙芯、天津飞腾、海光信息、上海申威、上海兆芯等。

这其中申威和龙芯自主可控程度最高。上海申威主要从事Alpha架构的研发,它是目前创新可信度最高的国产CPU厂商,基本实现完全自主可控,主供党政办公、军方和超算领域。

其次是飞腾和华为鲲鹏(海思)为代表的ARM架构国产厂商。ARM架构需要有ARM公司授权,主要有三种授权等级:使用层级授权、内核层级授权和架构/指令集层级授权。

其中指令集层级授权等级最高,企业可以对ARM指令集进行改造以实现自行设计处理器,目前海思、飞腾已经获得ARMV8永久授权。

如果他们基于V8授权发展出自己的指令集,其创新可信程度将显著提升,即使未来拿不到V9V10等新架构授权,依然可以维持先进性。

最后是海光和兆芯为代表的X86厂商,仅获得内核层级的授权,未来扩充指令集形成自主可控指令集难度较大。

在这个巨大市场潜力的推动下,IP厂商、工具厂商、芯片厂商和系统厂商都争先恐后地涌入这个赛道,其中不乏一些拥有深厚行业积累的厂商。如以ASIC芯片起家的嘉楠 科技 (以下简称“嘉楠”),就是当中一个重要的低调参与者。

从ASIC到RISC-V

众所周知,纳斯达克上市的嘉楠 科技 在ASIC芯片设计方面有深厚的积累,公司在芯片的前后端设计以及流片方面也有丰富的量产经验。早在2016年,嘉楠就成为了国内前十实现10nm芯片量产的公司。也就是从这一年开始,嘉楠开始了在边缘端AI芯片的 探索 。

据嘉楠 科技 董事长兼CEO张楠赓先生介绍,因为ASIC芯片对于计算效率的要求趋近极致,这就需要嘉楠一直在ASIC芯片设计中寻求算力与功耗之间的最佳平衡。因为这个研发过程对技术要求比较高,这无疑间就磨炼了公司的研发团队。与此同时,公司还发现,ASIC在计算效率上相比传统架构有了数量级的提升,这就为嘉楠后续进军RISC-V市场埋下了伏笔。同属计算密集型应用场景的边缘AI芯片就成为了嘉楠的目标。

经历了一番综合考量,嘉楠把目光投向了基于RISC-V的边缘端AI芯片。

嘉楠 科技 董事长兼CEO张楠赓先生

首先,从成本角度看,RISC-V开源免费的特性对于芯片创业公司而言非常友好。选择这一架构,意味着嘉楠可以在AI芯片研发过程中节省大量的IP授权成本,将资源投入到最核心的技术研发,帮助公司加快芯片的迭代速度,灵活应对市场环境的变化。

其次,从技术趋势角度看,RISC-V架构开源、精简和模块化的理念符合未来的技术发展趋势。计算体系结构宗师David Patterson(RISC-V的创始人之一)在ACM通讯上发表的论文中就指出了计算机体系结构的两个机遇,其中一个就是开源的指令集ISA,创建一个“面向处理器的Linux”。从目前来看,RISC-V无疑是开源指令集架构中最成功的一个。

第三,从开发角度看,RISC-V不需要像ARM一样考虑向后兼容,没有 历史 包袱,基础指令只有几十条,学习门槛相对较低;另一方面,RISC-V支持开发者按需拓展指令,这为芯片研发提供了更高的自由度。

第四,从性能角度看,RISC-V架构内核的性能可与ARM内核性能抗衡。

第五,从IP开发角度看,嘉楠从一开始就坚持IP核心自主研发的技术路线,RISC-V架构则提供了这一可能。嘉楠还能以SoC的形式将RISC-V CPU与自主研发的加速组合在一起,为客户提供边缘侧的芯片解决方案。在这一过程中,公司可以把更多精力放在IP核的迭代,而不用考虑可能面临的授权风险。

从市场发展现状看来,嘉楠打造端侧RISC-V AI芯片的做法也是一个明智的决定。

熟悉行业的读者应该知道,根据应用场景的不同,AI芯片的可以粗略划分为模型训练和推理计算。其中模型训练市场已出现巨头垄断的态势,GPU巨头英伟达不仅在硬件方面建立起技术领先优势,而且结合图形计算平台构建了强大的软件生态壁垒,那就意味着这个市场给新晋者的机会并不多。反观推理芯片组市场,则还处于发展早期,特别是边缘侧市场,不同场景对芯片的要求存在差异化,给AI芯片公司留下了更多空间,因此嘉楠一开始就专注于边缘推理芯片的研发。

同时,在边缘侧市场,可穿戴设备、摄像头和传感器等联网设备越来越多。不同物联设备对功耗和算力的要求不同,这就决定很难用单一架构适配所有场景。架构的竞争归根结底是生态之间的竞争。虽然ARM仍然是移动端市场的主流,但RISC-V开源和模块化的特点允许像嘉楠这样的芯片公司基于RISC-V进行定制化的设计,拥有更大的自由度。

此外,从目前的市场环境看,Arm正成为巨头公司争相收购的目标,这对于IP授权的独立性造成了很大威胁。而如果很多组织使用RISC-V设计处理器,就可以在更大层面上推动芯片的创新。所以长期来看,RISC-V的价值在未来会更加凸显,从而为嘉楠带来更多的市场机遇。

奔跑在这条全新的赛道上,自主研发的IP成为了嘉楠最重要的底气之一。

从K210到K510

在拍板进军RISC-V之后,嘉楠就一直坚持依托RISC-V架构,自主研发IP核心的技术路线。

张楠赓表示,公司这样做有三方面板的优势:一方面是为了把核心技术握在自己手里,避免可能面临的授权风险;另一方面,自主开发核心从长期来看可以降低研发成本,并加快芯片的迭代速度;第三,自研可以形成嘉楠自身的芯片设计方法体系,确保核心技术和研发理念的传承。

本着这样的研发思路,嘉楠迄今已经推出了两代自主研发的IP核心,分别为KPU(Knowledge Process Unit)和KPU20。这是专门为机器视觉任务设计的神经网络加速。因为异构计算是目前针对深度学习的主流硬件方案,为此在结合CPU与KPU加速后,嘉楠能更好地提升芯片在视觉算法模型上的性能表现。

落实到芯片方面,嘉楠在2018年就推出了公司的第一代产品勘智K210。这款产品在过去几年里也在包括智能园区、智能家居、智能能耗和智能农业在内的多个场景中发挥了重要的作用,公司也与一些行业头部公司开发了智能产品。今年,嘉楠就作为全国大学生OS设计大赛唯一的技术支持方,也为大赛提供勘智K210和开发板作为评估工具。与勘智K210甚至还在美国和日本等国际市场上率先打开局面。

但张楠赓指出,即使K210在不少领域表现抢眼,但由于该芯片的研发时间较早,在算力规划上没有考虑到后来才出现的算法模型,导致产品在应用场景的拓展上受到限制。为此,嘉楠在日前又顺势推出了新一代的中端芯片K510。

据介绍,在全新的勘智K510芯片,继续沿用了双核RISC-V CPU架构中,但嘉楠围绕RISC-V CPU子系统进行了优化。例如该CPU集成了64位的数字信号处理器DSP,配合自主研发的KPU20核心为AI应用加速。

此外,DSP内部还设计了专用的本地存储,进一步提升DSP的实际运算性能。研发团队还在双核CPU和DSP之间设计了专用的mailbox模块用于通信,方便软件灵活掌控整个系统。

K510同时还在总线架构、IP核心与视频子系统等多个方面也推出了全新设计。这使其算力相比一代芯片提升了3倍,经典视觉算法mobilenetv1帧率大幅提升,自研高速PHY接口理论带宽也做到了10GB/s,8位数据压缩率更是高达50%以上,极大优化了勘智AI系列在机器视觉场景的应用性能。

为了进一步解决大功耗和大面积的问题,嘉楠在K510芯片上更是采用了NoC总线架构,让每个IP工作在特定的时钟域,解决庞大时钟树的困扰。

在K510的视觉硬件配置上,嘉楠也进行了大幅优化,使其能够支持MIPI CSI2 和DVP接口,可同时支持最多3个摄像头输入。芯片内部还集成了3个图像处理单元ISP, 其中一个ISP支持3D 功能,无需软件参与,硬件完成深度数据的提取和加工,相比软件处理深度信息方式不但节省了巨大的CPU开销,性能上也会有很大提升。

嘉楠同时还提高了K510在摄像头输入接口设计的灵活性,让其既可以硬件流水线方式将摄像头输入送至ISP硬件,也可以把输入图像写入DDR,ISP再通过线下方式读取DDR内的图像完成后续处理。满足用户可以在中间加入定制化的处理需求,或者对定制化的数据进行ISP处理。

值得一提的是,通过融合公司在算法、软硬件和编译器的最新设计,嘉楠推出全新的KPU20,集中突破AI芯片设计中广泛存在的“存储墙”和“性能墙”的问题。为了提升计算效率,KPU20采用了动态3D PE阵列,第三个维度支持多种方式共享传递数据,并实现多个维度上的计算映射,提高PE阵列的利用率。同时也可以动态开启或关闭每一个2D阵列,并根据不同层级对带宽和计算资源的需求进行调整。

据了解,通过动态3D PE阵列,K510支持多种方式共享传递数据,灵活支持多个维度的计算映射,提高PE阵列利用率。采用GLB(Global Local Buffer)设计,通过可配置的SRAM阵列实现,灵活配置以满足不同数据类型在不同层上的带宽和存储需求,并提升内部RAM的利用率。结合动态3D PE阵列和GLB设计,嘉楠还独创了计算数据流技术,在计算卷积时不需要进行数据重排;通过多级存储设计提升卷积计算的数据复用率。

此外,KPU20还搭载了可重构的SIMD加速单元,通过创新的meshnet网络可以灵活配置支持各种激活函数、pooling和resize等算子。

作为一款定位于中高端边缘推理芯片市场,K510无论在核心架构还是外部设备接口方面,都对芯片的视觉处理能力进行了大幅优化。这就使得这个芯片能够在高清航拍、高清视频会议、智能家居、各类机器人以及车载后装智能终端等市场发挥其功用,并占领一席之地。根据公司的规划,未来几年会有多款勘智芯片亮相,助力多个不同的应用和市场。

与全球开发者共同推进RISC-V

虽然在包括嘉楠在内的多个厂商的推动下,RISC-V取得了长足发展。但从过往的 历史 看来,任何一款架构的普及都需要时间。如PC时代的x86架构统治了指令集架构市场几十年,后PC时代才迎来Arm架构的崛起,Arm也用了几十年,才走上了巅峰。换而言之,计算负载的变迁需要经过一个长时间的生命周期。也就是说我们现在虽然已经进入了万物互联时代,给RISC-V创造了机会,但这个新兴指令集来说,也只是迈出了第一步。

再者,现在的指令的发展趋势是开放度越来越高。如Arm崛起的原因很大程度上是因为它引入了更多的市场参与者。同样地,我们也将看到RISC-V作为开源架构标杆对于新一代芯片设计厂商的吸引力,也许未来的英伟达、英特尔就会从这个生态中诞生。为此嘉楠也会持之以恒地投入其中。

张楠赓同时还强调,RISC-V生态还在持续壮大,特别在边缘侧场景中,因为很多业内通行的设计标准和协议标准尚未统一,所以在百家争鸣的现阶段中, 探索 自己独特的技术路线更有意义,这也是作为RISC-V的坚定支持者嘉楠所践行的。

“但我们也应该认识到,将芯片转化为智能产品需要一个过程。与软件不一样,硬件是一段漫长的旅程、很花时间。需要先完成原型,然后客户进行测试,可能还要进行一些反复开发,所有这些事情都会比在Linux上debug花更久时间,也需要在生态上花费更多心思”,张楠赓补充说。

基于以上考虑,嘉楠会坚持依托RISC-V架构进行自主IP核研发的技术路线,为市场带来性能表现更优的芯片。同时,公司也会在软件方面发力,给客户带来更方面的研发体验。

据介绍,通过公司采用统一的AI编译器,勘智系列KPU能支持 TensorFlow、PyTorch和ONNX 模型导入。支持算子融合、稀疏压缩和量化等优化手段,对模型的延迟和带宽进行深度优化。K510同时还支持丰富的网络模型算子,当中包括常见的 CNN、RNN 算子和各类向量计算和数据处理 *** 作。

“嘉楠的成长受惠于开源,公司也将全面拥抱软硬件开源战略。嘉楠已经决定把公司在硬件模块、软件算法的积累,以及芯片手册等基本资料去阿奴共享出来给开发者使用,与全球的开发者共同推进RISC-V生态的繁荣。”张楠赓说。在他看来,推动RISC-V产业的发展,除了有利于公司本身以外。这于中国芯片产业来说,也是有百利而无一害的。

过去,芯片设计有时需要上亿研发费用,投入上百人,但这是中小企业不易承担,而且也不一定能掌握发展的主动权。但开源的RISC-V芯片设计能将芯片设计门槛大大降低,让3到5人的小团队在3到4个月内,只需花几万元便能研制出一款有市场竞争力的芯片,从而将促进芯片产业的繁荣,能更好地支持人工智能等新一代信息技术和数字经济的发展。

张楠赓认为,芯片产业最关键的是人才。在芯片设计门槛降低之后,将会吸引到更多的人才投入这个行业,这有助于奠定本土芯片产业长远发展的人才基础;另一方面,因为x86和Arm架构自身比较封闭,不容易进行创新。

“有了RISC-V之后,本土的一些架构创新的成果也容易以开源的形式进行推广,有助于打造中国在开源芯片领域的话语权。”张楠赓强调。

晶圆 集成电路 设备 汽车 芯片 存储 MLCC 英伟达 模拟芯片

近期的中美贸易战,既是挑战也是 历史 性机遇,将促使不少产业的产业链进行格局重组、重构甚至推倒重来。而芯片是本次中美贸易战的重点,由于华为受到的各种影响,全球芯片产业被置于国际聚光灯下。在贸易战背景下,芯片产业链的哪些环节会产生哪些变化?这些变化会对创业投资产生哪些影响?其中蕴含着哪些新的机会?2019年6月6月,中国发放了首批5G牌照,比原定2020年5G商用时间表提前了整整一年,这说明推动 历史 发展势在人为。

在新一代企业级 科技 投资人投研社第21期,钛资本邀请芯片领域专家时昕博士对相关问题进行解答。时昕博士是Imagination公司主管中国区战略市场与生态的高级总监,拥有处理器设计及软件生态的丰富行业经验,加入Imagination之前在华为公司担任智能计算业务部业务发展总监,此前,时博士曾在AMD、ARM、Synopsys、三星半导体韩国总部等国际公司担任不同的技术与商务岗位职责。时昕博士毕业于中科院声学所,研究方向为处理器设计,同时拥有北大MBA学位。

半导体,是元素周期表的某些元素,如硅、锗、碳等等,在一定条件下有导电或绝缘的特性。半导体大致可以分成几个大类:第一类是传感器,包括传统的传感器和MEMS(微机电系统)传感器,传统的传感器包括压力、温度、气体、磁场、惯性、指纹、声音等传感器,MEMS工艺可以做得更小;第二类是光电器件,包括现在常用节能灯上的发光二极管,以及电子面板如手机或电视面板,包括华为折叠屏手机用面板也属于半导体器件;第三类是分立器件,包括晶体管、功率器件、模拟或射频;第四类是集成电路,包括数字集成电路、模拟集成电路、射频集成电路。
我们今天讨论的主要是第四类——集成电路,特别是数字集成电路(集成电路还包含模拟集成电路和射频集成电路等,这些今天不做详细讨论)。比如一些高速AD,即模数转换、数模转换特定电路,也包括一些射频集成电路,如天线等。目前常用的芯片较多基于硅,所以芯片从业者经常自嘲是“硅农”。还有其它的元素,如基于钾的砷化镓、氮化钾在功率器件和微波器件方面很有优势,而碳作为替代硅的下一代半导体材料,在学术界已经火了很久。

全球芯片发展比较领先的国家和地区:美国是半导体的发源地,芯片就是在美国实验室里发明的,硅谷的名字由来也与之关;日本有一段时间芯片发展得比较好,但因为受到打压,最后一蹶不振;台湾地区发展比较好的是TSMC这种代工厂,TSMC的崛起离不开张忠谋教父级人物的个人能力,以及当时中国台湾在电子方面的进步;韩国能够发展起来,实际上是用类似于财阀的机制,集中资源办大事,三星是从存储器起家,目前发展到不仅包含存储器,也有逻辑芯片和面板。韩国和台湾地区的存储器和面板产业对比是很明显的例子,存储器和面板等产业都需要巨额资金的支持,台湾地区也有政策资金的支持但比较分散,韩国相对来说比较集中投给了三星,所以最终在面板和存储方面,台湾地区完全被韩国抛下了。从这个角度来说,像存储、代工、面板等需要巨量资金的这种行业,不要分散力量,集中力量才能把事办好。
2018年全球芯片公司Top15榜单,可惜其中没有一家中国公司。据海关总署的统计数据,2017年中国芯片进口总额大概为26万亿元,在2018年还继续同比增长13%。中国集成电路的自给率大概是1%~10%,每年的进口额高居不下,所以很多场合都说中国每年用于进口芯片的资金已经超过进口原油,一定要尽快发展自己的芯片产业。

投资人可能比较关心的是,怎样投出下一个NVIDIA,也就是随着人工智能大火的GPU公司。打 游戏 的人可能知道NVIDIA是做显卡的,GPU是显卡上用的主要芯片,在芯片行业里没有特别专门区分GPU和显卡。

想要投出下一个NVIDIA,要看在哪些赛道上出现巨型新兴公司的可能性比较高:在2018年全球芯片公司Top15排行榜中,内存公司最多,有三星、海力士、镁光;第二多的是处理器公司,NVIDIA的GPU就是一种处理器;另一个比较容易出巨头的赛道是做通信相关的芯片,像高通、博通。榜单里有很多家是IDM模式,也就是既有芯片设计,也有自己的生产线,像Intel、三星、TI、ST、NXP等公司;高通、博通、NVIDIA都是Fabless模式,也就是只做芯片设计。

在上个世纪,很多芯片公司都要自己做设计和生产,随着台积电代工模式的出现产生了一种模式叫Fabless,或者说叫IC design house,这些公司只做芯片的设计,而把生产交给第三方公司做代工。

2017年Fabless公司Top10榜单,十家公司中六家来自美国,一家来自新加坡,一家来自台湾地区,两家来自祖国大陆(华为的海思和清华下的嘉瑞集团),而欧洲和日本在榜单上都出局了。再考虑到博通把总部迁移到美国,那就意味着美国占了七家,比例非常惊人。其中比较看好的是海思,海思在2018年的营收将近74亿美金,之前有看法说如果海思的营收在2019年能保持20%的增幅,有可能超过图一中的NXP,不过在现在的形势下这个挑战的难度大大增加了。

从2000年左右到现在,国内的芯片虽然是高 科技 行业,却是以中低端产品为主。国内芯片公司被戏称为“一代拳王”,就是说凭借某一款产品盛极一时,却缺乏持续引领市场的能力。比如,2000年左右全世界MP3里的芯片基本都来自中国南方的一家公司,但当MP3市场萎缩后,该公司就很难找到下一类别的市场。

另一方面,国内芯片公司的技术进步主要依靠摩尔定律,也就是说更多是依靠代工厂、EDA工具和IP公司的技术进步。同时,国内芯片公司严重依赖第三方IP导致产品的同质化非常严重。IP公司和EDA公司里,经常听到客户抱怨公司像跑步机,必须不停地跟着跑,这也从另一方面说明,芯片公司没有能够从技术方面引领EDA和IP,而是跟在后面跑。

好的消息是,国家对芯片产业很重视。不仅给予国家级科研支持,像“863计划”和2001、2002每年几十亿的专项投资,还从产业基金方面给与了很多支持。2014年,国务院发布了《国家集成电路产业发展纲要》,奠定了未来集成电路的战略发展方向;同年9月,在工信部和财政部的指导下,设立了国家集成电路投资基金股份有限公司,被称做“大基金”。大基金参与方都是国内比较有实力的企业,一期的募资总规模1300多亿元,超募了原定目标的15%。基金所有权为基金电路产业投资股份有限公司,采取了市场化机制的管理模式和公司制的经营模式,跟以往的政府项目补贴模式有本质不同。大基金的一期从2014年到现在将近五年的时间,拉动作用显著,现在已经开始启动大基金二期,募资规模将要超过一期且投资方向也要围绕国家战略和新兴行业进行规划,比如智能 汽车 、智能电网、物联网等等,尽量向装备材料业给予支持。
芯片产业链中主要的环节如上图所示,最上方是用户,既可以是ToB用户也可以是ToC用户。比如既可以是运营商使用的5G设备,也可以是一般消费者使用智能手表或智能家电等等。在用户下面有系统解决方案的提供商,像做智能手机的企业会有两方面的需求:一是硬件供应商,主要指芯片的供应商;二是软件供应商,比如智能手机需要AP和基带的供应商。封装测试很重要,像安卓从芯片系统商拿到是封装和测试后的。封装和测试在整个产业链里门槛不是最高的,中国有很多工厂做得不错,像长电在全球排名不错,它的芯片主要是做封装测试,芯片本身由代工厂制造,包括TSMC、三星、中芯国际等公司。

芯片代工厂的需求包括:第一,根据芯片设计公司的设计文件,生产制造芯片;第二,无论是芯片设计还是芯片生产都需要技术支撑,像EDA工具和IP模块,不仅存在于芯片设计公司的上游,还会与芯片代工厂有技术沟通和合作。比如代工厂需要做7nm工艺的研发,就要跟EDA工具提供商如Synopsys沟通确认,其工具能否支撑7nm的设计,甚至需要共同开发。开发IP模块,也要确定其是否能够在7nm上正确实现功能和性能,这可能要几方合作。甚至对于CPU,芯片代工厂提供给客户的不仅仅是CPU的设计,还要跟代工厂共同开发针对此CPU可能会用到的特殊基础库,没有基础物理库,CPU、IP也无法在客户最终系统级芯片里正确使用。

芯片代工厂的上游是TSMC以及国内的中芯国际。它们也有上游,比如光刻机90%以上由荷兰ASML提供。整个芯片生产线牵扯到的设备非常多,其中技术门槛最高的是光刻机,还有其他设备比如离子注入、蚀刻等等。除了设备之外,芯片代工还需要准备芯片生产过程中的耗材或原材料,比如所有的芯片最终都要做到晶圆上,包括每个工艺节点上要做光刻还需要有光刻胶等等原材料,都需要供应商。所以,芯片产业链条中的环节非常多,欠缺了任何一个环节,链条就会被打断,无法实现。

结合中国目前的现状,上图中用白色标出来的是不需要担心的。中国是全球最大的市场之一,最不缺的就是用户了。封装测试在中国也有不错的基础,芯片设计虽然在排行榜中排前十的不多,但至少有一两家公司。

其它的方面可能比较让人担心。软件算法方面,像 *** 作系统OS、专用软件、底层数学库等大部分都受制于美国。EDA工具和IP模块几乎完全受制于美国。前两天对华为的制裁开始后,几家EDA公司比如Cadence、Synopsys、Mentor Graphics三巨头,都已经切断向华为的供应,不仅不再给华为提供技术支持,也不做软件更新。IP模块中,排名靠前的IP公司也以很快的速度说停止对华为的支持。
上图是IP公司的榜单。这些IP公司几乎全部来源于美国或受制于美国。排名第一的是ARM公司,原来是一家英国公司,后来被日本的软银集团收购了,但它也要受制与美国,所以所谓禁令出来后,很快就停止了与华为的合作。排名第二、第三的两家公司是EDA三巨头中的Synopsys、Cadence,都是美国公司。Ceva是一家以色列公司,虽然不是美国公司,但是美国的盟友。Imagination公司原来跟ARM一样是一家英国公司,在2017年被中国的资金完全收购了,所以目前在所有权上完全属于中国资金所有。同时技术也不来源于美国,因为在被中国国资收购前,把所有的美国技术全部剥离出去了,所以目前不用担心这家公司。

IP公司主要分成两类:第一类主要是处理器IP,像CPU、GPU、MPU、DSP等等,比如ARM是移动端的CPU,包括手机、 汽车 电子等等;另一类是接口IP,比方设备都会用DDR的memory接口,像PCIE接口、USB接口等等。

上边说的是芯片设计的上游,芯片设计的下游对于解决方案来说非常关键的是软件公司,特别是对于处理器来说。有些刚开始做芯片相关投资的投资人,可能经常会忽略掉这一点,芯片公司的软件实力经常是决定一家芯片公司能否成功的关键。很多号称做AI处理器、硬件芯片流程的公司,前端、后端、市场、融资都有大咖压阵,但是团队里居然没有一个软件大咖。如果这个芯片公司是与软件公司一起合作或由软件公司投资定制的一款芯片,那可能还好,但如果这家芯片公司独立地往市场上推,可能经常用户都找不到它。

大家都喜欢类比NVIDIA,但很多人都不知道,卖GPU芯片盈利的NVIDIA公司,软件工程师的人数远远多于芯片设计工程师的人数。NVIDIA的GPU和AMD的GPU比较起来可能各有千秋,为什么市场上用NVIDIA的GPU的比例要远大于AMD的GPU,主要就在于软件生态做得好。整个CUDA软件生态,不仅有对AI各种框架的支持,也包括在各行各业,像天文、科学计算、气象等基础运算库。在专用处理器方面,这是一个非常复杂的工程,不能完全由硬件出身的专家负责,因为不了解应用软件,另外也经常会忽视软件工具链的开发。设计一个专用处理器需要经历很多步骤,比如需求分析、架构设计、硬件实现等等,而软件工具链的开发非常重要,比如处理器上的软件编程环境如何、用什么样的编译器、提供什么SDK和函数库,是否能够支持AI所需的所有卷积运算、矩阵运算、FFT运算等等。芯片本身软件工具链之外,还有更多的软件生态。以智能手机为例,手机芯片上如果不能跑安卓系统就比较麻烦,安卓上还跑微信、支付宝、抖音等等应用。因此,把芯片做出来后,只是万里长征刚刚开始,后面还有更多数量级上的工作。

芯片面临的另个问题就是人才和资金的缺口十分巨大,虽然中国在这方面持续投入了很多年,但是目前来看可能还是不够。2018年,中国电子信息产业发展研究院(CCID)和工业和信息化部软件与集成电路促进中心(CSIP)联合发布了《中国集成电路产业人才白皮书(2017—2018)》,提到了中国集成电路人才缺口大概30多万,这个数字值得玩味。

十几年前就开始说,中国集成电路每年的人才缺口大概有几十万,很多专家、学者、大咖一直在呼吁,很多高校也都开设了芯片设计相关的专业,每年培养出了很多的人,为什么人才缺口持续一直是这样的状态?白皮书中也有分析,每年培养出来的人才,八成左右在毕业后转行做互联网或金融,因为从事芯片设计行业赚不到钱。芯片设计打工者赚不到钱倒不是因为芯片设计的老板比较抠门,而是芯片设计公司的老板自己也没赚到钱。

为什么?因为芯片设计行业的特点就是投入非常高,一次流片可能就是几百万,如果比较新的工艺10nm、7nm,投入的量级可能不变,但单位变成美元,而且一次流片还不一定成功,甚至两次流片都不一定成功。除了流片费用,还有EDA费用、IP的费用、员工养家糊口的工资,这些投入非常高昂,而且一个芯片项目基本周期是一年半左右。因为周期比较长,投入比较大,同时还有非常高的风险,一年半前定好的产品需求,即使流片一次成功,到上市时能否满足市场需求,就要在一年半后上市时才可能确切的知道。也由于这方面的原因,民间资本非常不愿意进入芯片行业,其财务回报率IRR等指标,相对于大规模的创新互联网公司也不好看。

所以如果考虑向芯片业进行投资,可能要做好与团队进行长跑的心理准备,很难像互联网那样一两年就获得比较理想的或至少是比较明确的回报。还有在开始时,公司很难从技术上就能做出一个判断,很多情况下要看选择的团队和团队的技术积累和技术能力如何、团队的市场潜力、团队之间是否能长期合作共事等更加重要的指标。

在芯片产业中,除了IP、EDA和代工厂等实体组织外,还有一些环节非常关键,比如标准。像WIFI联盟、蓝牙联盟等等行业组织,先是取消华为的成员资格,几天后又恢复了华为的成员资格,虽然这是一场闹剧,但还是让人揪了一把汗。还有IEEE学术组织,也在美国政府禁令的影响下,对华为进行了一些限制,后来又放开了。对于标准,可能有人认为标准制定后就是公开的,照做就行了,不是标准制定委员会的成员也没什么关系,这就想简单了。需要参与标准的制定有两点因素:第一,每家公司技术的积累和布局是不完全一样的,参与标准的制定有利于让标准向自己更擅长技术方向上去倾斜,这非常重要——有句话是“三流公司做产品,二流公司做专利,一流公司做标准”;第二,如果不能在早期参与标准的制定过程,可能就很难在早期深入了解、获得标准的发展方向,从而很难制定一个三年或更久的产品规划图。作为一个芯片公司在与客户沟通时,没有一个清晰的产品规划路线图,客户的信任可能就打折扣,对企业未来打一个问号。而且各家公司的技术积累的方向也可能不完全一样,如果能够使标准更加倾向自己积累的方向,那么技术公司就能够获得更好的领跑优势。
前面进行的很多分析可能有些偏悲观了,但是其实我们也不必过分悲观。之前的一段时间可以看到一个趋势,芯片产业在国内的发展非常明显,特别在2014年国家的“大基金”推出后,芯片设计公司的数量几乎翻了一番还多,大幅增长。
在中国,政府的指引非常重要,一定要关注。在第一期大基金的工作中有一个饼图可以看到投资的重点,65%投资了制造方面,因此像中芯国际这些年的进步非常明显,虽然距离台积电或三星还有代差,但是对于大部分芯片公司所针对的中端用户差不多可以了。

大基金已经开始了第二期,募资规模将会超过一期,而且将围绕国家的战略和新兴行业进行投资,并且尽量对装备材料给予支持。在第一期中,设计方面的投资大概17%,在二期应该会明显对设计公司加大比例,同时设计公司相对来说在芯片产业里,与制造相比算是花费少的,所以应该会有更加明显的拉动。大基金二期目标是募集1500亿元人民币,这方面在未来可以期待很大的支持力度。

国家花大力气支持芯片产业有一个根本原因,中美博奕不是针对一家公司,中美两国博奕也不能再用过去的眼光考察了,根本是谁能够占领 科技 发展的制高点。所以针对国内的企业,从去年的中兴、福建的晋华,到目前的华为,接下来大疆、海康等AI四小龙等等,可以看到打击的目标都是高 科技 企业,所以这战争是不是场持久战?像华为可以通过囤半年或一年的货,用自有备胎从容应对就可以吗?

如果真的是两国博奕,要占领未来 科技 发展的制高点。若仅有设计公司,芯片设计的部分是完全不足以支撑的,像芯片的上游EDA、IP,还有芯片的代工、代工厂的上游,完全都在别人手里,想要把产业链重新连起来,里面相当多的环节都要重新开始自己建设,国内虽然也有EDA公司,就算不是重新开始也是从一个非常低的起点开始。
上图分析在几个方面中国与世界水平还差多少:封装测试方面不用特别担心;在设计方面,基本上具备了终端方面设计的能力;在代工方面,由于投入巨大,需要一定的时间。这些方面目前有差距,但是差距既是挑战,对从业者来说也意味着机遇。在迎接这些挑战中,需要远离浮躁,要能够坚持下去。一个芯片项目做一年半,甚至可能一次流片不够,还要经过更长时间的忍耐,这对从业者也是非常大的考验。

中国的芯片如果想要在某些方面有所突破,在哪些方向或赛道上有可能会出未来黑马?

首先,芯片相关的内存、代工、封测等等领域,基本上投入都非常巨大,以数十亿计的资金才有可能参与,这些领域可能更多需要依靠国家战略进行追赶甚至赶超;

其次,IDM厂商。世界上TOP 15里有很多IDM厂商,像Intel、三星,中国还是有机会出现年轻的公司,比如华为海思。因为有持续的资源保证,海思有华为十几年持续的帮助和投入,获得了现在中国芯片领域排名第一的位置。中国还有其它的IDM,比如像无人机,还有很多比较成功的互联网公司,也都在尝试进行芯片的研发。在手机厂商和家电厂商中,除华为外,没听说哪个品牌或资源声势特别大,更多的手机厂商应该做尝试;

再次,很多人说国内要做自己的EDA公司,而EDA公司数十年的 历史 已经证明了,初创EDA公司最终最好的结局就是被三巨头收购,除非国内的EDA公司将来跟美国是一个世界两套体系,那就几乎要从头开始发展EDA产业,不然这方向不太可能有较大型的公司出现;

第四就是IP公司。在IP公司榜单前十名没有一家国内公司,直到2017年年底时,收购了Imagination。在榜单上可以看到IP公司最近几年的营收,IP公司活得很艰难,市场份额都不大。如果只是做单一IP公司,没有机会做CPU或GPU这种比较大空间的IP,那单一或少数几个IP的公司是很难生存的。如果将来迫不得已发展自己的IP,那唯一的方式就是某个具有号召力的组织机构振臂一呼,大家一起做聚合平台,把很多单一的IP聚合到一起,才有可能被芯片公司所采用。

最后,在芯片设计行业里有更多的机会,芯片设计方向也很多,主要考虑这么几点:首先,开源处理器也有隐患,将来需要完全源自于中国自主的处理器架构,不管是MCU微控制器、手机AP(Application Processor,即应用芯片)的MPU,还是一些在特定场合下的对特定指标有要求的数模、模数转换器件和射频器件。

希望投资机构能做好陪伴团队长跑的心理准备。中国的优势在于巨大的市场,可以立足于本地市场做持续引领,不要又成为“一代拳王”。像安防、AI行业,中国不仅仅有巨大的市场,而且也有很多AI的初创公司,在算法和软件方面也非常有技术领导力,还有像能源、 汽车 等等行业,一定会有国内供应商的重要一席之地。

除此之外,类似中国基金全资收购英国IP公司Imagination,也是一条可行的道路。除了美国外,在其它的一些地方,特别是欧洲还有些小而美的公司可以进行国际并购,从财务上面取得控制权,然后再慢慢消化吸收、引进人才等等。

此前,钛资本曾在2018年12月邀请了湖杉资本创始人苏仁宏在“新一代企业级 科技 投资人投研社”在线研讨会第九期上分享了中国半导体领域的投资挑战和机会(在钛资本微信公号中查看)。当时认为未来十年,中国芯片产业链将重构,这是最大的整合机会。传统的模式已经越来越没有效率了,今后的世界会越来越扁平,信息流会越来越短,数据的传输效率会提升,也会带来新的应用模式,整个产业链条会发生重构,而产业价值重点是芯片、云、数据。

而到了2019年6月,随着中美贸易战的升级和持久化,打开了中国芯片产业的 历史 性机遇窗口期。在美国禁令发出后,不少国际芯片产业链上的公司切断了对华为的技术供应,这将在很大程度上警醒和影响中国的 科技 投资流向。相对来说,半导体行业新的技术并不多,推动力大多数情况下并不是新技术。而 科技 投资的流动,将影响全球半导体产业格局的发展。过去,没有中美贸易战, 科技 投资更关注应用创新以及产业链的整合;而在中美贸易战的影响下, 科技 投资将有可能关注在全球不同的区域重建半导体生态,以保证国际竞争中的可持续性发展。

中国提前一年发放5G商用牌照,这在很大程度上拉升了中国在全球半导体产业链中的市场地位,也为国内半导体产业发展和创业创新提供了广阔的实验场和产业空间。现在需要的是更大胆更具创意的想像和想像空间——如果要在亚太和欧洲市场重建整个半导体产业及生态,是否能够做的不一样,例如还需要专业人士完成芯片设计么,还是人工智能就可以完成?好的消息是,已经有了到目前为止的整个半导体产业发展 历史 可以借鉴和对标,需要思考如果推倒重来的话是否有更好的方式、方法和路径?不是每个产业都有推倒重来的机会,在大挑战面前也是大机遇。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/zz/13149525.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-06-12
下一篇 2023-06-12

发表评论

登录后才能评论

评论列表(0条)

保存