Quidway S2326TP-EI划分VLAN后,无法通过TRUNK口链接到上层交换机所接入的DHCP服务器获取IP.

Quidway S2326TP-EI划分VLAN后,无法通过TRUNK口链接到上层交换机所接入的DHCP服务器获取IP.,第1张

trunk口是互相对应的。S2326上上联端口要做并允许其他vlan通过。反过来你的上层交换机对应的端口也要做trunk口。
我感觉你的trunk根本就没有做成功。先使用手工指定ip地址方式来确定是dhcp的问题还是交换机的问题。
从交换机S2326上可以PING通DHCP服务器。在S2326上ping的时候是使用2326的管理ip地址。一般为vlan1 。trunk的默认pvid 也是vlan 1 所以根本就不影响vlan1的通信。只要两端都是vlan1的ip地址是可以通的,而大于vlan1 的vlan只能通过trunk形式通信。

华为S5700交换机接口配置IP的 *** 作步骤如下:

1、打开交换机并首先设置管理IP地址。

2、设置交换机端口模式,配置连接测试telnet pc的接口的访问权限,配置交换机的默认路由,并启用交换机teet服务。

3、配置交换机的telnet用户和aaa认证模式。

4、配置客户端交换机的IP地址和VLAN设置以连接服务器交换机。

5、使用clinet switch telnet server10111,输入用户名登录成功,问题解决。

新交换机肯定是用console来配置了,买交换机的时候会配有一条console线的,一头是接电脑的串口,另一头类似网线接头,接在交换机标有console字样的console上,然后通过windows的开始-程序-附件-通讯-超级终端来连接,在配置连接参数的时候选择还原默认就好。
VLAN配置很简单,进入特权模式后,直接输入vlan (vlanid)就可以创建vlan了,有2个方法把端口加入vlan:
1 创建(进入)VLAN10,将E0/1加入到VLAN10
[SwitchA]vlan 10
[SwitchA-vlan10]port Ethernet 0/1
2 进入以太网端口E0/1的配置视图
[SwitchA]interface Ethernet 0/1
配置端口E0/1的PVID为10
[SwitchA-Ethernet0/1]port access vlan 10

记得给我分啊
----------------------------------------
交换机命令
~~~~~~~~~~
[Quidway]dis cur ;显示当前配置
[Quidway]display current-configuration ;显示当前配置
[Quidway]display interfaces ;显示接口信息
[Quidway]display vlan all ;显示路由信息
[Quidway]display version ;显示版本信息
[Quidway]super password ;修改特权用户密码
[Quidway]sysname ;交换机命名
[Quidway]interface ethernet 0/1 ;进入接口视图
[Quidway]interface vlan x ;进入接口视图
[Quidway-Vlan-interfacex]ip address 106511 25525500 ;配置VLAN的IP地址
[Quidway]ip route-static 0000 0000 106512 ;静态路由=网关
[Quidway]rip ;三层交换支持
[Quidway]local-user ftp
[Quidway]user-interface vty 0 4 ;进入虚拟终端
[S3026-ui-vty0-4]authentication-mode password ;设置口令模式
[S3026-ui-vty0-4]set authentication-mode password simple 222 ;设置口令
[S3026-ui-vty0-4]user privilege level 3 ;用户级别
[Quidway]interface ethernet 0/1 ;进入端口模式
[Quidway]int e0/1 ;进入端口模式
[Quidway-Ethernet0/1]duplex {half|full|auto} ;配置端口工作状态
[Quidway-Ethernet0/1]speed {10|100|auto} ;配置端口工作速率
[Quidway-Ethernet0/1]flow-control ;配置端口流控
[Quidway-Ethernet0/1]mdi {across|auto|normal} ;配置端口平接扭接
[Quidway-Ethernet0/1]port link-type {trunk|access|hybrid} ;设置端口工作模式
[Quidway-Ethernet0/1]port access vlan 3 ;当前端口加入到VLAN
[Quidway-Ethernet0/2]port trunk permit vlan {ID|All} ;设trunk允许的VLAN
[Quidway-Ethernet0/3]port trunk pvid vlan 3 ;设置trunk端口的PVID
[Quidway-Ethernet0/1]undo shutdown ;激活端口
[Quidway-Ethernet0/1]shutdown ;关闭端口
[Quidway-Ethernet0/1]quit ;返回
[Quidway]vlan 3 ;创建VLAN
[Quidway-vlan3]port ethernet 0/1 ;在VLAN中增加端口
[Quidway-vlan3]port e0/1 ;简写方式
[Quidway-vlan3]port ethernet 0/1 to ethernet 0/4 ;在VLAN中增加端口
[Quidway-vlan3]port e0/1 to e0/4 ;简写方式
[Quidway]monitor-port <interface_type interface_num> ;指定镜像端口
[Quidway]port mirror <interface_type interface_num> ;指定被镜像端口
[Quidway]port mirror int_list observing-port int_type int_num ;指定镜像和被镜像
[Quidway]description string ;指定VLAN描述字符
[Quidway]description ;删除VLAN描述字符
[Quidway]display vlan [vlan_id] ;查看VLAN设置
[Quidway]stp {enable|disable} ;设置生成树,默认关闭
[Quidway]stp priority 4096 ;设置交换机的优先级
[Quidway]stp root {primary|secondary} ;设置为根或根的备份
[Quidway-Ethernet0/1]stp cost 200 ;设置交换机端口的花费
[Quidway]link-aggregation e0/1 to e0/4 ingress|both ; 端口的聚合
[Quidway]undo link-aggregation e0/1|all ; 始端口为通道号
[SwitchA-vlanx]isolate-user-vlan enable ;设置主vlan
[SwitchA]isolate-user-vlan <x> secondary <list> ;设置主vlan包括的子vlan
[Quidway-Ethernet0/2]port hybrid pvid vlan <id> ;设置vlan的pvid
[Quidway-Ethernet0/2]port hybrid pvid ;删除vlan的pvid
[Quidway-Ethernet0/2]port hybrid vlan vlan_id_list untagged ;设置无标识的vlan
如果包的vlan id与PVId一致,则去掉vlan信息 默认PVID=1。
所以设置PVID为所属vlan id, 设置可以互通的vlan为untagged
----------------------------------------
路由器命令
~~~~~~~~~~
[Quidway]display version ;显示版本信息
[Quidway]display current-configuration ;显示当前配置
[Quidway]display interfaces ;显示接口信息
[Quidway]display ip route ;显示路由信息
[Quidway]sysname aabbcc ;更改主机名
[Quidway]super passwrod 123456 ;设置口令
[Quidway]interface serial0 ;进入接口
[Quidway-serial0]ip address <ip> <mask|mask_len> ;配置端口IP地址
[Quidway-serial0]undo shutdown ;激活端口
[Quidway]link-protocol hdlc ;绑定hdlc协议
[Quidway]user-interface vty 0 4
[Quidway-ui-vty0-4]authentication-mode password
[Quidway-ui-vty0-4]set authentication-mode password simple 222
[Quidway-ui-vty0-4]user privilege level 3
[Quidway-ui-vty0-4]quit
[Quidway]debugging hdlc all serial0 ;显示所有信息
[Quidway]debugging hdlc event serial0 ;调试事件信息
[Quidway]debugging hdlc packet serial0 ;显示包的信息
静态路由:
[Quidway]ip route-static <ip><mask>{interface number|nexthop}[value][reject|blackhole]
例如:
[Quidway]ip route-static 129100 16 10002
[Quidway]ip route-static 129100 25525500 10002
[Quidway]ip route-static 129100 16 Serial 2
[Quidway]ip route-static 0000 0000 10002
动态路由:
[Quidway]rip ;设置动态路由
[Quidway]rip work ;设置工作允许
[Quidway]rip input ;设置入口允许
[Quidway]rip output ;设置出口允许
[Quidway-rip]network 1000 ;设置交换路由网络
[Quidway-rip]network all ;设置与所有网络交换
[Quidway-rip]peer ip-address ;
[Quidway-rip]summary ;路由聚合
[Quidway]rip version 1 ;设置工作在版本1
[Quidway]rip version 2 multicast ;设版本2,多播方式
[Quidway-Ethernet0]rip split-horizon ;水平分隔
[Quidway]router id ABCD ;配置路由器的ID
[Quidway]ospf enable ;启动OSPF协议
[Quidway-ospf]import-route direct ;引入直联路由
[Quidway-Serial0]ospf enable area <area_id> ;配置OSPF区域
标准访问列表命令格式如下:
acl <acl-number> [match-order config|auto] ;默认前者顺序匹配。
rule [normal|special]{permit|deny} [source source-addr source-wildcard|any]
例:
[Quidway]acl 10
[Quidway-acl-10]rule normal permit source 10000 000255
[Quidway-acl-10]rule normal deny source any
扩展访问控制列表配置命令
配置TCP/UDP协议的扩展访问列表:
rule {normal|special}{permit|deny}{tcp|udp}source {<ip wild>|any}destination <ip wild>|any}
[operate]
配置ICMP协议的扩展访问列表:
rule {normal|special}{permit|deny}icmp source {<ip wild>|any]destination {<ip wild>|any]
[icmp-code] [logging]
扩展访问控制列表 *** 作符的含义
equal portnumber ;等于
greater-than portnumber ;大于
less-than portnumber ;小于
not-equal portnumber ;不等
range portnumber1 portnumber2 ;区间
扩展访问控制列表举例
[Quidway]acl 101
[Quidway-acl-101]rule deny souce any destination any
[Quidway-acl-101]rule permit icmp source any destination any icmp-type echo
[Quidway-acl-101]rule permit icmp source any destination any icmp-type echo-reply
[Quidway]acl 102
[Quidway-acl-102]rule permit ip source 10001 0000 destination 202001 0000
[Quidway-acl-102]rule deny ip source any destination any
[Quidway]acl 103
[Quidway-acl-103]rule permit tcp source any destination 10001 0000 destination-port equal ftp
[Quidway-acl-103]rule permit tcp source any destination 10002 0000 destination-port equal >远程升级准备
一、软件准备
需要FTP软件,以及BOOT软件,以及版本文件。
二、配置检查
1 检查FTP是否可用 target,target登陆,先在本地测试一下。并检查服务器上是否已经存放好版本文件。
2 检查IP地址是否设置正确,建议先PING通后再进行升级 *** 作。
主控板SCBF/SCBX升级步骤
1. 保存网元配置数据。
DSL# copy running-config startup-config
2. 保存网元配置数据到本地服务器上
DSL# copy running-config network
Please input new FTP server IP address: 1010012
Please input new file name(cfg): 9210confcfg
Upload start:

3. 用download命令升级SCBF版本
DSL# download
Please choose the type[1-system; 2-configuration; 3-card version;7-boot]:1 ---输入1,表示升级SCBF版本
Please input new FTP server IP address: 1010012 ―――输入FTP服务器IP
Please input new file name: vxworksz ―――输入版本文件名
1659388 bytes saved on flash
Load has finished
4. 远程升级SCBF/SCBX 的BOOT版本
DSL# download
Please choose the type[1-system; 2-configuration; 3-card version;7-boot]:7 ---输入1,表示升级SCBF版本
Please input new FTP server IP address: 1721928 ―――输入FTP服务器IP
Please input new file name: 9210BOOT500oBIN ―――输入版本文件名
1659388 bytes saved on flash
Load has finished
5. 察看版本情况,然后切换版本并重启。
DSL# show ver
Main version name : vxWorksZ
Main version state : valid
Main version size : 1655734
Back version name : vxworksz
Back version state : new
Back version size : 1659388
Boot version name : 500F
DSL# switch version
Are you sure to switch between back version and main version [y/n]y
DSL# show ver
Main version name :vxworksz
Main version state : new
Main version size : 1659388
Back version name : vxWorksZ
Back version state : valid
Back version size : 1655734
Boot version name : 500F
DSL# reboot
Are you sure to reset the device [y/n]y
复位后新版本就起作用了
Edsl
Edsl
Enable密码:zxedsl 必须进入$模式才能进行用户板升级。
ASIGN升级步骤
一、使线卡进入safemode的命令
DSL# config card mode safemode
Please choose a card[1-15,19(all ADSL)]: 1 ―――这里输入需要升级的线卡所在槽位,如果选择单个槽位,那么这时候那个槽位对应的用户板就开始进入safemode模式,如果选择19就是进入批处理模式,系统还会提示输入线卡类型
Please choose card type[1-ATIGN,2-ASIGN,3-ASIKB…]:2 --这里按照提示输入需要升级的线卡类型
然后所选的单板就开始进入安全模式
说明:
1、 本命令可以完成两种功能:
1)、指定槽位号进行单块单板进入safemode
2)、输入19,可以将本框内所有处于UP状态的所选类型线卡进入safemode
2、 当屏幕打印“The card is ready to enter safe mode successfully”,表明此线卡已经正确接收safemode命令,并正在重启线卡,当重启后,线卡将会进入safemode模式。
DSL$config
DSL(config)$ ca mo safemode
Please choose a card[1-15,19(batch)]:1
The card is ready to enter safe mode successfully
二、下载线卡版本的命令
DSL$ download
Please choose the type[1-system; 2-configuration; 3-card version;7-boot]:3
Please choose a card[1-15,18,19(All ADSL cards)]:19 这里输入需要升级的线卡所在槽位,输入19表示进行批处理升级
Please choose card type[1-ATIGN,2-ASIGN,3-ASIKB,4-SHDSL]:2 如果前面输入的是批处理模式,这里提示要求输入要升级的线卡类型
Please input new FTP server IP address: 1010012 输入ftp服务器的ip地址
Please input new file name: ASIGV320XFUL 输入要升级的版本文件名
fetch card version
card:1 need to load version
load version completed
Load has finished
等到版本文件传完后,单板会自动重启。单板功能要等到重启完成后,使用show card显示单板状态为UP时才正常
三明9203、9210调试模版(9210scbf V500p-9203scbx V500p)
用户名:edsl
密码: edsl
在config下利用card slot 1 cardtype asign命令
DSL#config
DSL(config)#time(修改9203时间)
DSL(config)show card
DSL(config)# card slot 1 cardtype asign (添加槽位用户板类型 (这条命令里的“1”代表你要配置的槽位号,“ATIGN”根据你show出来的用户板硬件类型来写,有ATIGN,还有ASIGN等。有几块用户板就要做几次这条命令,每个槽位都要做,输完这条命令后用户板要等会才能起来)
DSL(config)#add-vlan 200 (必需先创建网管vlan200)
DSL(config)#add-vlan 1001-1996 (添加业务vlan1,该业务vlan根据规划改变)
DSL(config)#vlan 200 4/7 tag (把主控板的5端口作为上联口加到网管vlan200并打上标签,注:9210上联的主控板为16槽位,应为:vlan 200 4/7 tag,其中的7代表主控板的第一个千兆光口)
DSL(config)#vlan 1001-1996 4/7 tag (把主控板的7端口作为上联口加到业务vlan并打上标签)
DSL(config)#vlan 1001-1032 1/1-32 untag (把用户板的1-32端口加到业务vlan)
DSL(config)#ip subnet 172161787 2552552550 200 (这里设置带内网管ip地址、掩码,最后一个数字就是写网管VLAN号,必须写,要么加不起地址,刚开始没创建那个VLAN的话也加不起。)
DSL(config)#ip route 134146350 2552552550 172161781 (设置路由)
DSL(config)#ip route 1010010 2552552550 172161781
DSL(config)#snmp-server host 1010012 private (设置网管服务器)
DSL(config)#pvlan enable
DSL(config)#pvlan uplink-port-group 16/1
DSL(config)#slot dsl-mpvc 1 (进入用户板时该板应启动完成为UP状态)
DSL(cfg-slot-dsl(m)-1)# atm pvc 0:100 pvc1(指定用户侧PVC)
DSL(cfg-slot-dsl(m)-1)# adsl profile s2048k(对业务端口进行2M限速)
DSL(cfg-slot-dsl(m)-1)# pvid 1001-1032 pvc1(设置端口的pvid)
DSL(cfg-slot-dsl(m)-1)# no shutdown(打开板上所有业务端口)
DSL(cfg-slot-dsl(m)-1)# adsl transmode (设置传输模式)
[1] T1413 Gdmt(FDM)
[2] T1413 Gdmt(EC)
[3] Adsl2(fdm) Adsl2+(fdm) Gdmt(fdm) ReAdsl2(fdm)
[4] Adsl2(fdm) Adsl2+(ec) Gdmt(fdm) ReAdsl2(fdm)
[5] Adsl2(fdm) Adsl2+(fdm) Gdmt(fdm) ReAdsl2(fdm) T1413
[6] Adsl2(fdm) Adsl2+(ec) Gdmt(fdm) ReAdsl2(fdm) T1413
[7] Custom
Please choose a transmode [1-7](Def: 3)3
DSL(cfg-slot-dsl(m)-1)#exit
DSL(conf)#interface ethernet 16/5 (如果电口上联,需做100M强制全双工)
DSL(cfg-if-eth-16/1)#auto-negotiate disable (如果电口上联,需做100M强制全双工)
DSL(cfg-if-eth-16/1)#duplex full (如果电口上联,需做100M强制全双工)
DSL(cfg-if-eth-16/1)#speed 100 (如果电口上联,需做100M强制全双工)
DSL# show interface 16/5
DSL(conf)#vlan 1001 16/4 untag (16插槽上第4网口做上网测试口方法)
DSL(conf)#interface ethernet 16/4 (16插槽上第4网口做上网测试口方法)
DSL(conf -thernet 16/4)#pvid 1001 (16插槽上第4网口做上网测试口方法)
DSL(conf -thernet 16/4)# ex (16插槽上第4网口做上网测试口方法)
DSL(config)#exit
DSL(config)#interface dsl-mpvc 1/1 (进入单个用户版端口)
DSL(cfg-if-adsl<m>-1/1)#bind mac-address (绑定mac-address)
DSL(cfg-if-adsl<m>-1/1)#bind ip-address ( 绑定ip-address)
DSL(config)$ system special auto-arp-interval 300 (设置ARP老化时间,有实际需要时才做)
DSL#copy running-config startup-config
Y
测试完毕后关闭所有用户端口
9203scbx板升级到V500p;9210SCBF板升级到V500p
敲s
c
rt0
host
vxworksz
136277
136278
target
target
0x0
F
用户板ASIGN升级到32x
用户板asikb不用切换到安全模式,可直接用asikbfrm,版本升级到32t版本
用户板asigb不用切换到安全模式, 可直接用asigb_Mfrm,版本升级到33k版本
config
card mode safemode
19
2
download
3
19
2
136278
ASIGV320XFUL
erase
config
time
system hostname
card slot 1 cardtype asigb
card slot 2 cardtype asigb
card slot 3 cardtype asigb
card slot 4 cardtype asigb
card slot 5 cardtype asigb
card slot 6 cardtype asigb
card slot 7 cardtype asigb
card slot 8 cardtype asigb
card slot 9 cardtype asigb
card slot 10 cardtype asigb
card slot 11 cardtype asigb
card slot 12 cardtype asigb
card slot 13 cardtype asigb
card slot 14 cardtype asigb
card slot 15 cardtype asigb
add-vlan 200
add-vlan 1001-1480
vlan 200 16/7 tag
vlan 1001-1480 16/7 tag
ip igmp disable
vlan 1001-1032 1/1-32 untag one
vlan 1033-1064 2/1-32 untag one
vlan 1065-1096 3/1-32 untag one
vlan 1097-1128 4/1-32 untag one
vlan 1129-1160 5/1-32 untag one
vlan 1161-1192 6/1-32 untag one
vlan 1193-1224 7/1-32 untag one
vlan 1225-1256 8/1-32 untag one
vlan 1257-1288 9/1-32 untag one
vlan 1289-1320 10/1-32 untag one
vlan 1321-1352 11/1-32 untag one
vlan 1353-1384 12/1-32 untag one
vlan 1385-1416 13/1-32 untag one
vlan 1417-1448 14/1-32 untag one
vlan 1449-1480 15/1-32 untag one
ip subnet 172168246 2552552550 200
ip route 1010010 2552552550 17216821
ip route 134146350 2552552550 17216821
ip route 19216800 2552552550 17216821
ip route 1921682540 2552552550 17216821
snmp-server host 1010012 private
pvlan enable
y
pvlan uplink-port-group 16/1
adsl-profile s512k
y
adsl-profile s1024k
y
adsl-profile s2048k
y
adsl-profile s3072k
y
adsl-profile s4096k
y
adsl-profile s6144k
y
adsl-profile s8192k
y
adsl-profile s512k 上行改为256
adsl-profile s1024k 上行改为384
adsl-profile s2048k 上行改为384
adsl-profile s3072k 上行改为384
adsl-profile s4096k 上行改为512
adsl-profile s6144k 上行改为384
adsl-profile s8192k 上行改为384
slot dsl-mpvc 1
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1001-1032 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 2
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1033-1064 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 3
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1065-1096 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 4
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1097-1128 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 5
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1129-1160 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 6
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1161-1192 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 7
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1193-1224 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 8
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1225-1256 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 9
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1257-1288 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 10
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1289-1320 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 11
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1321-1352 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 12
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1353-1384 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 13
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1385-1416 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 14
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1417-1448 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
slot dsl-mpvc 15
atm pvc 0:100 pvc1
adsl profile s2048k
pvid 1449-1480 pvc1
loop-check interval 8
tra enable
no shutdown
adsl transmode
3
exit
exit
设备正常的开通配置和以前一致,需要注意的是,针对上联口请增加以下配置:
注意:以下配置,需要和局方沟通,确认局方规划中没有使用vlan 1
1、增加一个不用的vlan;(将来也很少用到的vlan,如add-vlan 4093)
2、将上联口加入此vlan中,tag、untag均可以;(如vlan 4093 16/7 tag)
3、将上联口的pvid修改为这个不用的vlan值 ;(如pvid 4093)
4、将上联口从vlan 1中删除;(如no vlan 1 16/7)
5、进入上联口中,配置命令ingress discard
6、配置完以上信息,使用show interface 16/7和show vlan 1命令查看是否正确
add-vlan 4093
vlan 4093 16/7 untag
no vlan 1 16/7
int eth 16/7
ing dis
pvid 4093
exit
copy running-config startup-config
y
测试完毕后关闭所有用户端口
8426带外IP:10115/24
8220v2x带外IP:106225180
9210带外IP:10625101/8
100122222/8
136277/16
9203带外IP:10122222/8
100122222/8
1在做9210的时候,三明地区给分配的IP有可能会与9210的带外IP冲突,所以在启动9210的时候最好先进入boot模式下将其带外IP改掉,一般改为136277
2在9203的开局模板步骤中没有修改上联端口模式,但是9203和9210的电口大多都不是强制百兆全双工的,所以在开局过程中若遇到用电口上联的情况,最好先查看一下上联端口的模式,进入端口状态用speed 100命令将其改为强制百兆全双工。
3网管vlan用200,网管服务器ip:1010012,路由要做两条,一条到网管服务器,另一条到目的网段:134146350,做一条还是两条视情况而定。线路配置文件:s512k,s1024k,s2048k,s4096k,s6144ks8192k,s2688up768,s1344up640,s640up320
4设置带内MAC地址
DSL#enable (密码zxedsl--即超级用户下)
debug mo 12345
deb mo 888999 p1 00:d0:d0:dd:ee:ff
重启让mac地址生效,可到第9步完成后一次重启


欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/zz/13421158.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-08-02
下一篇 2023-08-02

发表评论

登录后才能评论

评论列表(0条)

保存