关于51单片机C语言 数码管 4*4按键 简单的密码锁 请您帮助一下

关于51单片机C语言 数码管 4*4按键 简单的密码锁 请您帮助一下,第1张

密码锁(掉电保护功能)

功能键

S6---S15 数字键0-9

S16---更改密码 S17---更改密码完毕后确认

S18---重试密码、重新设定 S19---关闭密码锁

初始密码:000000 密码位数:6位

注意:掉电后,所设密码会丢失,重新上点时,密码恢复为原始的000000

与P1相连的8位发光LED点亮代表锁被打开;熄灭代表锁被锁上

程序功能: 本程序结合了24C02存储器的存储功能,可以掉电保存密码。

第一次运行时,若输入000000原始密码后无反应,可以试验着将主程序中前面的

一小段被注释线屏蔽的程序前的注释线删掉,然后重新编译下载(可以将密码还原为000000)。

此后,再将这小段程序屏蔽掉,再编译下载。方可正常使用。

1、开锁:

下载程序后,直接按六次S7(即代表数字1),8位LED亮,锁被打开,输入密码时,

六位数码管依次显示小横杠。

2、更改密码:

只有当开锁(LED亮)后,该功能方可使用。

首先按下更改密码键S16,然后设置相应密码,此时六位数码管会显示设置密码对应

的数字。最后设置完六位后,按下S17确认密码更改,此后新密码即生效。

3、重试密码:

当输入密码时,密码输错后按下键S18,可重新输入六位密码。

当设置密码时,设置中途想更改密码,也可按下此键重新设置。

4、关闭密码锁:

按下S19即可将打开的密码锁关闭。

推荐初级演示步骤:输入原始密码000000---按下更改密码按键S16---按0到9设置密码---按S17

确认密码更改---按S18关闭密码锁---输入新的密码打开密码锁

/

#include<reg52h>

#include <intrinsh>

#define uint unsigned int

#define uchar unsigned char

uchar old1,old2,old3,old4,old5,old6; //原始密码000000

uchar new1,new2,new3,new4,new5,new6; //每次MCU采集到的密码输入

uchar a=16,b=16,c=16,d=16,e=16,f=16; //送入数码管显示的变量

uchar wei,key,temp;

bit allow,genggai,ok,wanbi,retry,close; //各个状态位

sbit dula=P2^6;

sbit wela=P2^7;

sbit beep=P2^3;

sbit sda=P2^0; //IO口定义

sbit scl=P2^1;

unsigned char code table[]=

{0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,

0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00,0x40};

/IIC芯片24C02存储器驱动程序/

void nop()

{

_nop_();

_nop_();

}

/////////24C02读写驱动程序////////////////////

void delay1(unsigned int m)

{ unsigned int n;

for(n=0;n<m;n++);

}

void init() //24c02初始化子程序

{

scl=1;

nop();

sda=1;

nop();

}

void start() //启动I2C总线

{

sda=1;

nop();

scl=1;

nop();

sda=0;

nop();

scl=0;

nop();

}

void stop() //停止I2C总线

{

sda=0;

nop();

scl=1;

nop();

sda=1;

nop();

}

void writebyte(unsigned char j) //写一个字节

{

unsigned char i,temp;

temp=j;

for (i=0;i<8;i++)

{

temp=temp<<1;

scl=0;

nop();

sda=CY; //temp左移时,移出的值放入了CY中

nop();

scl=1; //待sda线上的数据稳定后,将scl拉高

nop();

}

scl=0;

nop();

sda=1;

nop();

}

unsigned char readbyte() //读一个字节

{

unsigned char i,j,k=0;

scl=0; nop(); sda=1;

for (i=0;i<8;i++)

{

nop(); scl=1; nop();

if(sda==1)

j=1;

else

j=0;

k=(k<<1)|j;

scl=0;

}

nop();

return(k);

}

void clock() //I2C总线时钟

{

unsigned char i=0;

scl=1;

nop();

while((sda==1)&&(i<255))

i++;

scl=0;

nop();

}

////////从24c02的地址address中读取一个字节数据/////

unsigned char read24c02(unsigned char address)

{

unsigned char i;

start();

writebyte(0xa0);

clock();

writebyte(address);

clock();

start();

writebyte(0xa1);

clock();

i=readbyte();

stop();

delay1(100);

return(i);

}

//////向24c02的address地址中写入一字节数据info/////

void write24c02(unsigned char address,unsigned char info)

{

start();

writebyte(0xa0);

clock();

writebyte(address);

clock();

writebyte(info);

clock();

stop();

delay1(5000); //这个延时一定要足够长,否则会出错。因为24c02在从sda上取得数据后,还需要一定时间的烧录过程。

}

/密码锁程序模块/

void delay(unsigned char i)

{

uchar j,k;

for(j=i;j>0;j--)

for(k=125;k>0;k--);

}

void display(uchar a,uchar b,uchar c,uchar d,uchar e,uchar f)

{

dula=0;

P0=table[a];

dula=1;

dula=0;

wela=0;

P0=0xfe;

wela=1;

wela=0;

delay(5);

P0=table[b];

dula=1;

dula=0;

P0=0xfd;

wela=1;

wela=0;

delay(5);

P0=table[c];

dula=1;

dula=0;

P0=0xfb;

wela=1;

wela=0;

delay(5);

P0=table[d];

dula=1;

dula=0;

P0=0xf7;

wela=1;

wela=0;

delay(5);

P0=table[e];

dula=1;

dula=0;

P0=0xef;

wela=1;

wela=0;

delay(5);

P0=table[f];

dula=1;

dula=0;

P0=0xdf;

wela=1;

wela=0;

delay(5);

}

void keyscan()

{

{

P3=0xfe;

temp=P3;

temp=temp&0xf0;

if(temp!=0xf0)

{

delay(10);

if(temp!=0xf0)

{

temp=P3;

switch(temp)

{

case 0xee:

key=0;

wei++;

break;

case 0xde:

key=1;

wei++;

break;

case 0xbe:

key=2;

wei++;

break;

case 0x7e:

key=3;

wei++;

break;

}

while(temp!=0xf0)

{

temp=P3;

temp=temp&0xf0;

beep=0;

}

beep=1;

}

}

P3=0xfd;

temp=P3;

temp=temp&0xf0;

if(temp!=0xf0)

{

delay(10);

if(temp!=0xf0)

{

temp=P3;

switch(temp)

{

case 0xed:

key=4;

wei++;

break;

case 0xdd:

key=5;

wei++;

break;

case 0xbd:

key=6;

wei++;

break;

case 0x7d:

key=7;

wei++;

break;

}

while(temp!=0xf0)

{

temp=P3;

temp=temp&0xf0;

beep=0;

}

beep=1;

}

}

P3=0xfb;

temp=P3;

temp=temp&0xf0;

if(temp!=0xf0)

{

delay(10);

if(temp!=0xf0)

{

temp=P3;

switch(temp)

{

case 0xeb:

key=8;

wei++;

break;

case 0xdb:

key=9;

wei++;

break;

case 0xbb:

genggai=1;

wei=0;

break;

case 0x7b:

if(allow)

ok=1;

break;

}

while(temp!=0xf0)

{

temp=P3;

temp=temp&0xf0;

beep=0;

}

beep=1;

}

}

P3=0xf7;

temp=P3;

temp=temp&0xf0;

if(temp!=0xf0)

{

delay(10);

if(temp!=0xf0)

{

temp=P3;

switch(temp)

{

case 0xe7:

retry=1;

break;

case 0xd7:

close=1;

break;

}

while(temp!=0xf0)

{

temp=P3;

temp=temp&0xf0;

beep=0;

}

beep=1;

}

}

}

}

void shumima() //对按键采集来的数据进行分配

{

if(!wanbi)

{

switch(wei)

{

case 1:new1=key;

if(!allow) a=17;

else a=key; break;

case 2:new2=key;

if(a==17) b=17;

else b=key; break;

case 3:new3=key;

if(a==17) c=17;

else c=key; break;

case 4:new4=key;

if(a==17) d=17;

else d=key; break;

case 5:new5=key;

if(a==17) e=17;

else e=key; break;

case 6:new6=key;

if(a==17) f=17;

else f=key;

wanbi=1; break;

}

}

}

void yanzheng() //验证密码是否正确

{

if(wanbi) //只有当六位密码均输入完毕后方进行验证

{

if((new1==old1)&(new2==old2)&(new3==old3)&(new4==old4)&(new5==old5)&(new6==old6))

allow=1; //当输入的密码正确,会得到allowe置一

}

}

void main()

{

init(); //初始化24C02

/下面的一小段程序的功能为格式化密码存储区。

当24c02中这些存储区由于其他程序的运行而导致

所存数据发生了变化,或者密码遗忘时,

可以删掉其前面的注释线,然后重新编译下载。

而将密码还原为000000后,请将下面的程序用

注释屏蔽掉,重新编译、下载,方可正常使用/

// write24c02(110,0x00);

// write24c02(111,0x00);//24c02的第110到115地址单元作为密码存储区

// write24c02(112,0x00);

// write24c02(113,0x00);

// write24c02(114,0x00);

// write24c02(115,0x00);

//

old1=read24c02(110);

old2=read24c02(111);

old3=read24c02(112);

old4=read24c02(113);

old5=read24c02(114);

old6=read24c02(115);

while(1)

{

keyscan();

shumima();

yanzheng();

if(allow) //验证完后,若allow为1,则开锁

{

P1=0x00;

if(!genggai)

wanbi=0;

}

if(genggai) //当S16更改密码键被按下,genggai会被置一

{

if(allow) //若已经把锁打开,才有更改密码的权限

{

while(!wanbi) //当新的六位密码没有设定完,则一直在这里循环

{

keyscan();

shumima();

if(retry|close) //而当探测到重试键S18或者关闭密码锁键S19被按下时,则跳出

{ wanbi=1;

break;

}

display(a,b,c,d,e,f);

}

}

}

if(ok) //更改密码时,当所有六位新密码均被按下时,可以按下此键,结束密码更改

{ //其他时间按下此键无效

ok=0; wei=0;

genggai=0;

old1=new1;old2=new2;old3=new3; //此时,旧的密码将被代替

old4=new4;old5=new5;old6=new6;

//新密码写入存储区。

write24c02(110,old1);

write24c02(111,old2);

write24c02(112,old3);

write24c02(113,old4);

write24c02(114,old5);

write24c02(115,old6);

a=16;b=16;c=16;d=16;e=16;f=16;

}

if(retry) //当重试按键S18被按下,retry会被置位

{

retry=0; wei=0;wanbi=0;

a=16;b=16;c=16;d=16;e=16;f=16;

new1=0;new2=0;new3=0;new4=0;new5=0;new6=0;

}

if(close) //当关闭密码锁按键被按下,close会被置位

{

close=0;genggai=0;//所有变量均被清零。

wei=0; wanbi=0;

allow=0;

P1=0xff;

a=16;b=16;c=16;d=16;e=16;f=16;

new1=0;new2=0;new3=0;new4=0;new5=0;new6=0;

}

display(a,b,c,d,e,f); //实时显示

}

}

1、首先根据购买的智能门锁品牌说明书,找到果加智能锁的管理密码。

2、在智能锁,输入管理密码,进入管理模式。

3、连续按三次“”号键。

4、直到智能锁的蜂鸣器长鸣一声时停止。

5、然后在智能锁中输入老密码。

6、长按“#”号键确认。

7、直到蜂鸣器长鸣一声时停止,此时LED灯闪烁。

8、根据智能锁语音提示,设置新的密码,再按“#”号键确认即可。

1、把智能指纹门锁的滑盖向上打开,激活密码输入区域。

2、输入左下角的“回车”键+“OK”键,进入管理员模式,基本上门锁上的设置都是在这个管理员模式内进行设置的。

3、进入管理员模式之后会提示要输入管理员密码,这里的初始密码是123456。

4、输入密码后看到四个选项,选择“2”选项,设置用户。进入设置用户界面后,可以看到三个选项,在这里选择数字“1”添加用户。

5、进入添加用户界面后看到添加密码、指纹、磁卡三个选项,选择数字“2”添加指纹。

6、进入添加指纹后有30秒的时候录入指纹,把需要录入的指纹放在指纹区域即可,最后会提示“设置成功”。

密码锁设置密码步骤如下:

1、从门锁室内面板点按设定键。

2、短按设定按钮REG,听到提示音。

3、从门锁室外面板输入管理员密码。

4、按键板亮灯后输入原密码并按号按键。

5、从门锁室外面板输入新密码(4-12位),然后按键。

6、从门锁室外面板再次输入新密码,然后按键完成新密码保存。

近年来,随着生活水平的不断改善,个人财富日益增长,人们对安全防盗的要求也逐渐提高。安全可靠、使用方便的电子密码锁成了人们防盗的首选。以Max +PlusⅡ(Multiple Array Matrix and ProgrammingLogic User SystemⅡ,多阵列矩阵及可编程逻辑用户系统Ⅱ)为工作平台,使用PLD可编程器件和VHDL语言设计的带音乐的电子密码锁具有密码预置,误码锁死及开锁音乐提示等功能。这种设计不仅简化了系统结构,降低了成本,更提高了系统的可靠和保密性。采用PLD可编程逻辑器件开发的数字系统,可以方便地升级和改进。

1 设计思路

密码锁电路由键盘控制、密码设置和音乐演奏三大功能模块组成,原理如图1所示。Count,Keyvalue,Contrl,Smdisplay构成键盘控制模块,Songer是音乐演奏模块,Set是密码设置模块。

1.1 键盘控制

键盘主要完成向系统输入数据,传送命令等功能。它是一个机械d性按键开关的集合,利用机械触点的合、断作用产生高、低电平。通过对电平高低状态的检测,以确认按键按下与否。一个电压信号通过机械触点的断开、闭合过程的波形如图2所示。

在该键盘电路中,Count模块提供键盘的行扫描信号Q[3..0]。在没有按键按下时,信号EN为高电平,行扫描输出信号Q[3..0]的循环变化顺序为0001 OO100100 1000 0001(依次扫描4行按键);当有按键按下时,信号EN为低电平,行扫描输出信号Q[3..0]停止扫描,并锁存当前的行扫描值。例如按下第一行的按键,那么Q[3..O]=0001。

Keyvalue模块的主要功能是对输入按键的行信号Q[3..0]和列信号14[3..0]的当前组合值进行判断来确定输入按键的键值。

Contrl模块的主要功能是实现按键的消抖,判断是否有按键按下。确保对按键的提取处于图2所示的闭合稳定时间范围内,这就对本模块的输入时钟信号有一定的要求,在本设计中该模块输入的时钟信号频率为64 Hz。Smdisplay模块主要是完成数码管动态扫描和七段译码显示的功能。

1.2 音乐演奏电路Songer

根据声乐学知识,组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能连续演奏所需的两个基本要素。获得这两个要素所对应的数值以及通过纯硬件的手段来利用这些数值实现所希望乐曲的演奏效果是关键。如图3所示,该电路需要由NOTETABS(音调发生器)、TONETABA、SPEAKER(数控分频器)三个模块组成,分别实现了声音产生、节拍控制、音调控制的功能。

1.3 密码设置

Set模块是实现密码锁功能的核心模块。其主要作用是设置密码,Set为设置密码的有效信号,可以实现修改密码的功能。En为输入密码确认信号,当输入完六位密码后确认输入,一旦输入的密码跟所设置的密码一致时,则输出信号OP有效(高电平);OP控制演奏音乐,此时音乐响起。若密码不正确,则指示输入错误及输入次数,输完三次无效后密码锁锁死,必须由RESET信号(启动信号,给一个低电平)重新打开密码锁功能。

2 电路的VHDL描述

键盘控制电路,音乐演奏电路以及密码设置模块均使用硬件描述语言VHSIC Hardware Description Lan-guage(VHDL)设计而成。例如:TONETABA的VHDL模型如下:

VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,支持各种模式的设计方法:自顶向下与自底向上或混合方法,从而大大简化了硬件的设计任务,提高了设计效率和可靠性。它同时具有与具体硬件电路无关和与设计平台无关的特性,所以用VHDL进行电子系统设计,设计者可以专心致力于其功能的实现,而不需要对其他相关因素花费过多的时间和精力。

设计步骤

3.1 设计输入

首先在合适的路径下建立本设计的文件夹,然后用VHDL语言编辑Count,Keyvalue,Contrl,Smdisplay等电路,并在Max+PlusⅡ软件中使用文本编辑器输入上述各电路模块的VHDL程序,编译生成各模块;最后在Max+PlusⅡ软件中使用图形编辑器以自底向上的方法编辑原理图。先编辑图3电路,以Singer.gdf命名,其次使用“Create default Symbol”生成Songer模块,然后再编辑如图1所示原理电路图。

3.2 仿真测试及编程下载配置

将设计好的项目存盘,并将其设置成Project。选择目标器件为ACEX系列中的EP1K30QC208-2,启动编译,如果发现编译出现错误,修正后再次编译。编译后即可对波形文件进行仿真,并进行测试和波形分析。分析完成后进行编程下载配置。

3.3 硬件测试

在高电平时,通过键盘的0~F号键进行6位密码输入,密码输入完毕后通过单击确认键进行密码设置确认。当输入的密码与设置的密码一致时,扬声器开始循环演奏乐曲,且数码管SM8显示输入密码的次数,数码管SM7显示密码输入是否正确。如果密码正确,则SM7显示‘0’;如果密码错误,则SM7显示‘E’。数码管SM6~SM1显示输入的6位密码。在密码输入正确开始演奏乐曲时,如果将拨位开关KD4拨向上,则数码管SM8显示乐曲的音符,而此时若将拨位开关KD3拨向上则停止演奏乐曲。发光二极管LED1~LED4显示输入按键的键值,LED16监控是否有按键按下。

4 结 语

使用Max+PlusⅡ软件和VHDL语言设计电路,思路简单,功能明了;不仅可以进行逻辑仿真,还可以进行时序仿真;使用PLD器件不仅省去了电路制作的麻烦,还可以反复多次进行硬件实验,非常方便地修改设计,且设计的电路保密性很强。总之,采用Max+PlusⅡ软件和VHDL语言使得复杂的电子系统的设计变得简单容易,大大提高了设计效率。

如果对您有帮助,请记得采纳为满意答案,谢谢!祝您生活愉快!

用STC52编的,下面是C程序,调试已经成功,自己看程序吧……

#include<reg52h>

#include <intrinsh>

#define uchar unsigned char

#define uint unsigned int

#define LCD_data P0

sbit SDA=P3^5;

sbit SCL=P3^4;//24C08控制口设置

sbit LCD_RS = P3^3; //寄存器选择输入

sbit LCD_RW = P3^6; //液晶读/写控制

sbit LCD_EN = P3^7; //液晶使能控制

sbit LCD_PSB = P3^2; //串/并方式控制

sbit FM=P2^4;//蜂鸣器控制口

sbit RS=P2^5;

sbit T_CLK = P2^0; //实时时钟时钟线引脚 //

sbit T_IO = P2^1; //实时时钟数据线引脚 //

sbit T_RST = P2^2; //实时时钟复位线引脚 //

sbit ds=P2^3;

sbit EN=P2^6;

sbit ZZ=P2^7;

sbit FZ=P3^1;

sbit ACC0=ACC^0;

sbit ACC7=ACC^7;

uint temp1,s_temp; //定义整形变量

float f_temp; //定义浮点型变量

uchar time[]=" : : ";

uchar day[]=" 20 / / ( ) ";

uchar temp0[]=" 温度: 度 ";

uchar num,num1,flag,count,a,b;

uchar unlock_i;//解密标志位

uchar t[4];

uchar t1[4];

void delay_ms(uint z)//长延时

{

uint x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

void delay() //短延时,大约5us

{

; ;

}

void reshi()

{

if(RS==1)

{ unlock_i=1;

}

else

{

unlock_i=0;

}

}

uchar code mima[]={'0','1','2','3','4','5','6','7','8','9','0',''};

void lcd_xieping0(uchar x,uchar y,uchar date);

void lcd_xieping(uchar x,uchar y,uchar str);

//

// 开机显示

//

void kjxs()

{

uint i,j;

lcd_xieping(0,0,"");

lcd_xieping(1,0," 欢迎进入 ");

lcd_xieping(2,0," 密码锁系统! ");

lcd_xieping(3,0,"");

delay_ms(4000);

lcd_xieping(0,0," 系统初始化中 ");

lcd_xieping(1,0," 请稍后… ");

lcd_xieping(2,0,"————————");

lcd_xieping(3,0," ");

for(j=3;j>0;j--)

{

for(i=0;i<8;i++)

{

lcd_xieping(3,i,"");

delay_ms(250);

}

lcd_xieping(3,0," ");

}

}

//

// 12864显示

//

void write_cmd(uchar cmd)

{

LCD_RS = 0;

LCD_RW = 0;

LCD_EN = 0;

P0 = cmd;

delay_ms(5);

LCD_EN = 1;

delay_ms(5);

LCD_EN = 0;

}

void write_dat(uchar dat)

{

LCD_RS = 1;

LCD_RW = 0;

LCD_EN = 0;

P0 = dat;

delay_ms(5);

LCD_EN = 1;

delay_ms(5);

LCD_EN = 0;

}

void lcd_xieping0(uchar x,uchar y,uchar date)

{

switch(x)

{

case 0: write_cmd(0x80+y); break;

case 1: write_cmd(0x90+y); break;

case 2: write_cmd(0x88+y); break;

case 3: write_cmd(0x98+y); break;

}

write_dat(date);

}

void lcd_xieping(uchar x,uchar y,uchar str)

{

switch(x)

{

case 0: write_cmd(0x80+y); break;

case 1: write_cmd(0x90+y); break;

case 2: write_cmd(0x88+y); break;

case 3: write_cmd(0x98+y); break;

}

while (str)

{

write_dat(str);

str++;

}

}

void lcd_init()

{

LCD_PSB = 1; //并口方式

write_cmd(0x30); //基本指令 *** 作

delay_ms(5);

write_cmd(0x0C); //显示开,关光标

delay_ms(5);

write_cmd(0x01); //清除LCD的显示内容

delay_ms(5);

}

//

// 键盘扫描函数

//

uchar keyscan1() //矩阵键盘扫描函数

{

uchar temp;

while(!num)

{P1=0xfe; //赋值

temp=P1; //读回数据

temp=temp&0xf0; //与运算

if(temp!=0xf0) //判断

{

delay_ms(2); //延时消抖

temp=P1; //读回数据

temp=temp&0xf0;

if(temp!=0xf0)

{

switch(temp) //多分支选择

{

case 0x70:num=1;break; //跳出

case 0xb0:num=2;break;

case 0xd0:num=3;break;

case 0xe0:num=4;break;

}

while(temp!=0xf0)

{

temp=P1;

temp=temp&0xf0;

}//等待按键释放

}

}

P1=0xfd; //赋值

temp=P1; //读回数据

temp=temp&0xf0; //与运算

if(temp!=0xf0) //判断

{

delay_ms(2); //延时消抖

temp=P1; //读回数据

temp=temp&0xf0;

if(temp!=0xf0)

{

switch(temp) //多分支选择

{

case 0x70:num=5;break; //跳出

case 0xb0:num=6;break;

case 0xd0:num=7;break;

case 0xe0:num=8;break;

}

while(temp!=0xf0)

{

temp=P1;

temp=temp&0xf0;

}//等待按键释放

}

}

P1=0xfb; //赋值

temp=P1; //读回数据

temp=temp&0xf0; //与运算

if(temp!=0xf0) //判断

{

delay_ms(2); //延时消抖

temp=P1; //读回数据

temp=temp&0xf0;

if(temp!=0xf0)

{

switch(temp) //多分支选择

{

case 0x70:num=9;break; //跳出

case 0xb0:num=10;break;

case 0xd0:num=11;break;

case 0xe0:num=12;break;

}

while(temp!=0xf0)

{

temp=P1;

temp=temp&0xf0;

}//等待按键释放

}

}

}

return(num); //返回值

}

uchar keyscan2()

{

uchar temp;

while(!num1)

{P1=0xf7; //赋值

temp=P1; //读回数据

temp=temp&0xf0; //与运算

if(temp!=0xf0) //判断

{

delay_ms(2); //延时消抖

temp=P1; //读回数据

temp=temp&0xf0;

if(temp!=0xf0)

{

switch(temp) //多分支选择

{

case 0x70:num1=1;break; //跳出

case 0xb0:num1=2;break;

case 0xd0:num1=3;break;

case 0xe0:num1=4;break;

}

while(temp!=0xf0)

{

temp=P1;

temp=temp&0xf0;

}//等待按键释放

}

}

}

return(num1);

}

//

// 直流电机

//

void dianjiZZ()

{

EN=1;

ZZ=1;

FZ=0;

}

void dianjiFZ()

{

EN=1;

ZZ=0;

FZ=1;

}

void dianji_stop()

{

EN=0;

}

//

// EPPROM

//

void start() //启动信号

{

SDA=1;

delay();

SCL=1;

delay();

SDA=0;

delay();

}

void stop() //停止信号

{

SDA=0;

delay();

SCL=1;

delay();

SDA=1;

delay();

}

void respons() //响应信号

{

uchar i;

SCL=1;

delay();

while((SDA==1)&&(i<250))

i++;

SCL=0;

delay();

}

void writebyte(uchar date) //写一个字节

{

uchar i,temp;

temp=date;

for(i=0;i<8;i++)

{

temp=temp<<1;

SCL=0;

delay();

SDA=CY;

delay();

SCL=1;

delay();

}

SCL=0;

delay();

SDA=1; //释放总线

delay();

}

uchar readbyte() //读一个字节

{

uchar i,k;

SCL=0;

delay();

SDA=1;

for(i=0;i<8;i++)

{

SCL=1;

delay();

k=(k<<1)|SDA;

SCL=0;

delay();

}

delay();

return(k);

}

void write(uchar add,uchar date) //在一个地址写一个字节

{

start();

writebyte(0xa0);

respons();

writebyte(add);

respons();

writebyte(date);

respons();

stop();

}

uchar read(uchar add) //在一个地址读一个字节

{

start();

writebyte(0xa0);

respons();

writebyte(add);

respons();

start();

writebyte(0xa1);

respons();

b=readbyte();

respons();

stop();

return(b);

}

//

// 时间日期函数

//

void v_WTInputByte(uchar ucDa)

{

uchar i;

ACC= ucDa;

for(i=8; i>0; i--)

{

T_IO = ACC0; //相当于汇编中的 RRC

T_CLK = 1;

T_CLK = 0;

ACC =ACC>> 1;

}

}

uchar uc_RTOutputByte(void)

{

uchar i;

for(i=8; i>0; i--)

{

ACC = ACC>>1; //相当于汇编中的 RRC

ACC7 = T_IO;

T_CLK = 1;

T_CLK = 0;

}

return(ACC);

}

void v_W1302(uchar ucAddr, uchar ucDa)

{

T_RST = 0;

T_CLK = 0;

T_RST = 1;

v_WTInputByte(ucAddr); / 地址,命令 /

v_WTInputByte(ucDa); / 写1Byte数据/

T_CLK = 1;

T_RST =0;

}

uchar uc_R1302(uchar ucAddr)

{

uchar ucDa;

T_RST = 0;

T_CLK = 0;

T_RST = 1;

v_WTInputByte(ucAddr); // 地址,命令 //

ucDa = uc_RTOutputByte(); // 读1Byte数据 //

T_CLK = 1;

T_RST =0;

return(ucDa);

}

void Init1302(void)

{

v_W1302(0x8e,0x00); //控制写入WP=0

v_W1302(0x80,0x80);

v_W1302(0x90,0xa9);

v_W1302(0x80,0x00); //秒

v_W1302(0x82,0x24); //分

v_W1302(0x84,0x12); //时

v_W1302(0x86,0x29); //日

v_W1302(0x88,0x10); //月

v_W1302(0x8a,0x05); //星期

v_W1302(0x8c,0x10); //年 //

v_W1302(0x8e,0x80);

}

void donetime(void)

{

uchar d;

d=uc_R1302(0x87);

day[10]=(d&0x0f)+48;

day[9]=((d>>4)&0x03)+48;

d=uc_R1302(0x89);

day[7]=(d&0x0f)+48;

day[6]=((d>>4)&0x01)+48;

d=uc_R1302(0x8b);

day[13]=(d&0x07)+48;

d=uc_R1302(0x8d);

day[4]=(d&0x0f)+48;

day[3]=(d>>4)+48;

d=uc_R1302(0x81);

time[15]=(d&0x0f)+48;

time[14]=(d>>4)+48;

d=uc_R1302(0x83);

time[12]=(d&0x0f)+48;

time[11]=(d>>4)+48;

d=uc_R1302(0x85);

time[9]=(d&0x0f)+48;

time[8]=(d>>4)+48;

}

//

// 温度检测函数

//

void dsreset(void) //18B20复位,初始化函数

{

uint i;

ds=0;

i=103;

while(i>0)i--;

ds=1;

i=4;

while(i>0)i--;

}

bit tempreadbit(void) //读1位函数

{

uint i;

bit dat;

ds=0;i++; //i++ 起延时作用

ds=1;i++;i++;

dat=ds; //读数据

i=8;while(i>0)i--;

return (dat);

}

uchar tempread(void) //读1个字节

{

uchar i,j,dat;

dat=0;

for(i=1;i<=8;i++)

{

j=tempreadbit();

dat=(j<<7)|(dat>>1); //读出的数据最低位在最前面,这样刚好一个字节在DAT里

}

return(dat);

}

void tempwritebyte(uchar dat) //向18B20写一个字节数据

{

uint i;

uchar j;

bit testb;

for(j=1;j<=8;j++)

{

testb=dat&0x01; //判断最后一位是1还是0

dat=dat>>1;

if(testb) //写 1

{

ds=0;

i++;i++;

ds=1;

i=8;while(i>0)i--;

}

else

{

ds=0; //写 0

i=8;while(i>0)i--;

ds=1;

i++;i++;

}

}

}

void tempchange(void) //DS18B20 开始获取温度并转换

{

dsreset(); //初始化,每次对18B20的 *** 作都首先要初始化

delay_ms(1);

tempwritebyte(0xcc); // 写跳过读ROM指令

tempwritebyte(0x44); // 写温度转换指令

}

void get_temp() //读取寄存器中存储的温度数据

{

uchar a,b;

dsreset(); //初始化

delay_ms(1);

tempwritebyte(0xcc); // 写跳过读ROM指令

tempwritebyte(0xbe); //写读指令

a=tempread(); //读低8位

b=tempread(); //读高8位

temp1=b;

temp1<<=8; //两个字节组合为1个字

temp1=temp1|a;

f_temp=temp100625; //温度在寄存器中为12位 分辨率位00625°

}

//

// 解密函数

//

void unlock()

{

uchar in,i;

if(num==0)

{

lcd_xieping(0,0,"密码锁系统 ");

lcd_xieping(1,0,"—————————");

lcd_xieping(2,0," 请输入密码: ");

lcd_xieping(3,0," ");

for(i=0;i<4;i++)

{

t1[i]=keyscan1();

lcd_xieping(3,i,"");

num=0;

}//输密码

}

in=keyscan1();

if(in==12)//in-确定键标志位

{

in=0;

num=0;

if((t1[0]==t[0])&&(t1[1]==t[1])&&(t1[2]==t[2])&&(t1[3]==t[3]))

{

flag=1;//解密成功与否标志位

//unlock_i=1;

a=0;//功能键标志

lcd_xieping(0,0,"密码锁系统 ");

lcd_xieping(1,0,"——————————");

lcd_xieping(2,0," 密码正确! ");

lcd_xieping(3,0," 您的身份已确认");

delay_ms(1500);

lcd_xieping(1,0,"————————");

lcd_xieping(2,0,"功能 I 开锁 ");

lcd_xieping(3,0," II修改密码");

}

else

{

flag=0;

count++;

if(count==3)

{

count=0;

num=1;

lcd_xieping(1,0,"——————————");

lcd_xieping(2,0,"您的机会已用完 ");

lcd_xieping(3,0,"对不起无法进入");

FM=0;

delay_ms(1000);

FM=1;

}

}

}

}

//

// 修改密码函数

//

void xiugaimima()

{ uchar i,j,l,im,ib;

uchar t2[4];

uchar t3[4];

num=0;

lcd_xieping(1,0,"————————");

lcd_xieping(2,0,"请输入新密码: ");

lcd_xieping(3,0," ");

for(i=0;i<4;i++)

{

t2[i]=keyscan1();

lcd_xieping0(3,i,mima[num]);

num=0;

}

im=keyscan1();

if(im==12)//im,in,ib,同为确定键标志位

{

im=0;

num=0;

lcd_xieping(1,0,"————————");

lcd_xieping(2,0,"请再次输入新密码");

lcd_xieping(3,0," ");

for(i=0;i<4;i++)

{

t3[i]=keyscan1();

lcd_xieping0(3,i,mima[num]);

num=0;

}

}

ib=keyscan1();

if(ib==12)

{

ib=0;

num=0;

if(t2[0]==t3[0]&&t2[1]==t3[1]&&t2[2]==t3[2]&&t2[3]==t3[3])

{

t[0]=t3[0];

t[1]=t3[1];

t[2]=t3[2];

t[3]=t3[3];

lcd_xieping(1,0,"————————");

lcd_xieping(2,0," 祝贺您! ");

lcd_xieping(3,0," 密码修改成功 ");

flag=0;

for(j=0;j<4;j++)

{

l=j+1;

write(l,t[j]);

delay_ms(10);

}//24C08写数据

delay_ms(1000);

}

else

{

lcd_xieping(2,0,"两次输入密码不同");

lcd_xieping(3,0," 密码修改失败 ");

flag=1;

delay_ms(500);

}

}

}

//

// 显示函数

//

void xianshi()

{

donetime();

tempchange();

get_temp();

s_temp=f_temp100;

temp0[7]=(s_temp/1000)+48;

temp0[8]=(s_temp%1000/100)+48;

temp0[10]=(s_temp%100/10)+48;

temp0[11]=(s_temp%10)+48;

lcd_xieping(0,0,"密码锁系统 ");

lcd_xieping(1,0,temp0);

lcd_xieping(2,0,day);

lcd_xieping(3,0,time);

num=0;

}

//

// 开锁函数

//

void kaisuo()

{

uchar i;

lcd_xieping(2,0," 开锁中…… ");

lcd_xieping(3,0,"——耐心等待——");

for(i=3;i>0;i--)

{

FM=0;

delay_ms(100);

FM=1;

delay_ms(100);

flag=0;

}

dianjiZZ();

delay_ms(10000);

dianji_stop();

lcd_xieping(2,0,"—开锁过程结束—");

lcd_xieping(3,0," 请开门 ");

delay_ms(5000);

dianjiFZ();

delay_ms(10000);

dianji_stop();

flag=0;

}

//

// 主函数

//

void main()

{

uchar m;

unlock_i=1;

lcd_init(); //液晶初始化

//Init1302();

kjxs(); //开机显示

for(m=0;m<4;m++)

{

t[m]=read(m+1);

delay_ms(10);

}//24C08读数据

while(1)

{

reshi();

if(!unlock_i)

{

unlock();//解密函数

}

else

{

xianshi();//时间、日期、温度显示函数

}

if(flag==1)

{

num1=0;

a=keyscan2();

if(a==1)

{

kaisuo();//开锁函数

}

if(a==2)

{

xiugaimima();//修改密码函数

}

}

}

}

//vc6调试成功!!!

/要求:能够用键盘设置密码,输入密码。如果输入密码与

设置的密码不匹配给出提示,连续三次输入错误就禁止输入。/

#include <stdioh>

#include <stdlibh>

#include <stringh>

#define PR printf

void main()

{

char psw[30]="123456",str[30];

PR("系统原始密码123456\n");

int sum=0;

do {

gets(str);

if(strcmp(str,psw)==0)

{

PR("请设置密码:\n");

gets(psw);

PR("密码设置成功!\n");

break;

}

else

{

sum++;

if(sum==3) {PR("非法用户!\n");exit(0);}

PR("密码错误,请重新输入:\n");}

}

while(sum<3);

}

以上就是关于关于51单片机C语言 数码管 4*4按键 简单的密码锁 请您帮助一下全部的内容,包括:关于51单片机C语言 数码管 4*4按键 简单的密码锁 请您帮助一下、密码门锁设置的方法是怎样的、利可达密码锁小程序叫什么等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: http://outofmemory.cn/zz/9453362.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-28
下一篇 2023-04-28

发表评论

登录后才能评论

评论列表(0条)

保存