怎么在ise工程中添加cdc文件

怎么在ise工程中添加cdc文件,第1张

右键Synthesize->ProcessProperties。

1、先在综合中设置保持层次,以便在chipsope中保持原电路代码结构。

2、右键Synthesize->ProcessProperties。

3、添加CDC文件,给ChipScope使用。

4、完成以上步骤就可以正常使用了。

安装步骤 :

安装前先关闭杀毒软件和360卫士,注意安装路径不能有中文,安装包路径也不要有中文。

试装系统:win10 64bit

安装版本:14.7

Win10在安装ISE14.7的时候普遍会遇到安装完打不开快捷方式的情况,那是因为目前Win10系统普遍为64位系统,而它对于ISE14.7并不兼容,所以是运行不了的。安装步骤在第16步和第17步是做软件的兼容处理, *** 作后win10 64位可以正常使用ISE软件。若是32位系统,可能不需要进行兼容处理,安装完成后可以正常打开,所以32位系统的安装步骤可能有些许差异,但是整体步骤相似。

1.解压安装包(注意解压的路径不要有中文)。

2. 以管理员身份运行安装程序。

3.点击“Next”。

4.勾选“I accept”,然后点击“Next”。

5.下面的也是勾选“I accept”,然后点击“Next”。

6.选择“ISE Design Suit System Edition”,然后点击“Next”。

7.默认勾选如下的选项,然后点击“Next”。

8.选择安装路径(注意不要有中文),然后点击“Next”。

9.点击“Install”,然后等待几分钟。

10.出现如下,点击“确定”。(这一步是由于我的电脑之前安装过WinPcap软件,如果你的电脑没有安装过WinPcap,这一步会提示你需要安装WinPcap,直接点击安装就OK了)

11.出现如下,点击“确定”。

12.出现如下,点击“安装”。

13.出现如下,点击“安装”。

14.出现如下,点击“OK”。

15.点击“Finish”。

16.打开安装路径中lib的nt64文件夹,如我的路径是C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64,找到libPortabilityNOSH.dll和libPortability.dll,将libPortability.dll重命名为libPortability.dll.orig,将libPortabilityNOSH.dll复制一份,然后将复制的文件命名为libPortability.dll。

17. 打开安装路径中common的lib的nt64文件夹,如我的路径是:C:\Xilinx\14.7\ISE_DS\common\lib\nt64,将上一步的中的libPortabilityNOSH.dll复制过来,然后将此文件夹中的libPortability.dll重命名为libPortability.dll.orig,将libPortabilityNOSH.dll重命名为libPortability.dll。

18.打开桌面的快捷方式。

19.提示如下信息,点击“OK”。

20.下面是load license *** 作。在“Acquire a license”中选择“Locate Existing License(s)”。

21.在“Manage License”中点击“load license”,然后选择安装包中ISE147_License文件夹中的xilinx_ise.lic文件。Load完成后,提示license安装成功,点击“OK”。

22.完成licence安装后,在如下界面点击“Close”。

23.软件打开后的界面如下。

ISE TCAD 部署和运行方法

部署:

1.拷贝文件夹ISE到C盘根目录下

2.拷贝文件夹ISE_DATA到E盘根目录下

3.根据“环境变量.txt”修改环境变量((点“我的电脑”的“属性”——>“高级”——>环境变量)

Path %TEC80HOME%\BINC:\ISE\bin

(系统变量中在变量Path的已有值后加“%TEC80HOME%\BINC:\ISE\bin”)

环境变量中新定义:

ISEDB E:\ISE_DATA

ISERELEASE 7.0

ISEROOT C:\ISE

TEC80HOME C:\ISE\TEC80

FP_NO_HOST_CHECK NO

DISPLAY 此电脑的主机名:0.0 (点“我的电脑”的“属性”,点开“计算机名”,即可看到计算机名))

4.导入所有注册表信息(双击regload文件)

5.安装Exceed

运行:

1.启动exceed

2.启动C:\ISE\BIN\GENESISEe,也可将其添加一个快捷方式


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/bake/11796639.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-18
下一篇 2023-05-18

发表评论

登录后才能评论

评论列表(0条)

保存