英特尔将为联发科代工16纳米制程芯片

英特尔将为联发科代工16纳米制程芯片,第1张

英特尔将为联发科代工16纳米制程芯片

英特尔将为联发科代工16纳米制程芯片,联发科目前每年生产超过 20 亿台设备,但目前还不清楚未来英特尔的代工厂能够产出多少台设备。英特尔将为联发科代工16纳米制程芯片。

英特尔将为联发科代工16纳米制程芯片1

英特尔发布公告称,已与联发科建立战略合作伙伴关系,联发科将使用英特尔代工服务(IFS)为一系列智能边缘设备制造新芯片。继与手机芯片大厂高通达成代工合作意向之后,英特尔又成功拿下了联发科这个重要的客户,这也意味着英特尔的晶圆代工业务获得了突破性进展。而对于台积电来说,这并不是一个好消息。

去年3月,英特尔新任CEO基辛格宣布了IDM 20战略,其中关键的一项举措就是重启晶圆代工业务,同时,英特尔还宣布了庞大的产能扩张计划,以及激进的制程工艺路线图。先进制程工艺以及庞大的产能也成为了英特尔拓展代工服务的重要竞争优势。

在产能方面,自去年以来,英特尔陆续宣布投资200亿美元在美国亚利桑那州建造两座先进制程晶圆厂、200亿美元在美国俄亥俄州建造两座先进制程晶圆厂、30亿美元扩建美国俄勒冈州D1X 晶圆厂、未来10年在欧洲投资800亿欧元(包括投资170亿欧元在德国马德堡建两座先进制程晶圆厂;投资约120亿欧元,将爱尔兰莱克斯利普的晶圆厂的制造空间扩大一倍)等。

此外,在今年2月15日,英特尔还宣布以每股53美元的现金收购全球第十大晶圆代工厂——高塔半导体,交易总价值约为54亿美元。英特尔称,此收购大力推进了英特尔的IDM20战略,进一步扩大英特尔的制造产能、全球布局及技术组合,以满足前所未有的行业需求。

在先进制程工艺方面,英特尔此前已经宣布了激进的工艺路线图,计划在2022年下半年量产Intel 4工艺,2023年下半年开始量产Intel 3工艺,2024年上半年量产Intel 20A工艺,Intel 18A 工艺将提前半年在2024年下半年量产。

值得注意的是,去年7月,英特尔就已宣布2024年上半年量产的Intel 20A工艺,将与高通达成合作。今年3月,基辛格还对外表示,未来最先进的工艺都会提供晶圆代工服务,其中Intel 3、Intel 18A 制程都已经找到客户,但并未透露具体名单。

据悉,此次联发科与英特尔达成代工服务合作的首个工艺技术节点是“Intel 16”,这是基于英特尔2018年开始出货的22FFL工艺的改进版本。

在Intel 16工艺(相当于台积电16nm)中,英特尔对22FFL技术进一步改造,并增加了对第三方芯片设计工具的支持。双方合作的首批订单将在未来18个月至24个月内出货,但目前还不清楚英特尔获得了多少联发科的订单,以及具体在那座工厂生产。

英特尔表示:“我们无法透露客户产品中的细节,但IFS用户都可以通过俄勒冈州、亚利桑那州、爱尔兰、以色列以及未来将在俄亥俄州和德国建立的工厂组成的全球产能网络生产芯片。”

英特尔代工服务总裁 Randhir Thakur 称:“联发科作为全球领先的芯片设计公司之一,每年为超过 20 亿台设备提供芯片支持。联发科是 英特尔代工服务的绝佳合作伙伴,将帮助英特尔代工服务进入下一个快速增长阶段。同时,英特尔代工服务的先进工艺技术和地域多样化的庞大产能,将帮助联发科在一系列应用中交付下一个十亿连接设备。”

联发科平台技术与制造运营高级副总裁 NS Tsai 表示:“联发科长期以来一直采用多源战略。我们与英特尔在针对笔记本电脑的5G基带芯片上已是合作伙伴关系。现在通过英特尔代工服务,将我们的合作关系进一步扩展到制造智能边缘设备。

凭借其对大规模产能扩张的承诺,英特尔代工服务将为联发科提供价值,因为我们正寻求创建更加多元化的供应链。我们期待与英特尔建立长期合作伙伴关系,以满足全球客户对我们产品快速增长的需求。”

虽然之前英特尔有宣布将与高通在Intel 20A工艺上进行合作,但是这只是预期,双方并未进入实质性的合作。而此次与芯片大厂联发科达成合作,则是英特尔代工业务的一次实质性重大突破。

根据英特尔此前公布的是数据显示,今年一季度英特尔的晶圆代工业务营收年增175%,是旗下主要业务中,成长幅度最惊人的业务,主要来自思科、亚马逊等30多家客户的订单。而此次成功与联发科达成合作,将有助于英特尔晶圆代工业务进一步加速成长。

值得注意的是,在最先进2nm的制程工艺量产时间规划上,台积电和三星的计划的量产时间都是在2025年,英特尔则计划在2024年上半年量产Intel 20A工艺,同时还计划在下半年量产更先进的Intel 18A工艺。

如果一切顺利的话,英特尔将在2024年在先进制程工艺上超越台积电和三星,重新夺回领先地位。而这也有望帮助英特尔进一步从台积电或者三星手中夺得更多的优质客户(例如高通)的订单。

英特尔将为联发科代工16纳米制程芯片2

英特尔和联发科今天宣布建立战略合作伙伴关系,未来联发科将利用英特尔代工服务 (IFS) 的 16 纳米制程(Intel 16)工艺制造芯片,该工艺为 22FFL(一种为低功耗设备优化的传统工艺)节点的改进版。该协议旨在通过利用英特尔的大量产能,让联发科能够建立一个供需更加平衡、有d性的供应链。

英特尔代工服务总裁 Randhir Thakur 称:“联发科作为世界领先的芯片设计公司之一,将帮助英特尔代工服务进入下一个快速增长阶段。同时,英特尔代工服务的先进工艺和大量产能,将帮助联发科产出更多芯片”。

联发科平台技术与制造运营部企业高级副总裁 NS Tsai 表示:“联发科一直以来都采用多源战略,英特尔代工服务产能的扩展将帮助联发科创建一个更加多元的供应链。我们期待与英特尔建立长期的合作关系,以满足全球客户快速增长的需求”。

联发科目前每年生产超过 20 亿台设备,但目前还不清楚未来英特尔的代工厂能够产出多少台设备。并且英特尔也没有说明联发科在美国或欧洲的生产比例。

联发科计划生产的智能边缘设备与英特尔 16 纳米工艺非常吻合,该工艺是英特尔 22FFL 节点的改进版,最早在 2018 年就开始出货。此外,该工艺制造的芯片仍然具有很高的性能,足够大多数产品使用。

为了扭转英特尔代工服务多年来的颓势并向联发科提供代工服务,英特尔向英特尔代工服务投入了 200 亿美元的资金。目前英特尔代工服务已经有了不错的发展势头,像是已经签署了高通和亚马逊网络服务(AWS)作为初始客户。

英特尔 CEO 帕特-盖尔辛格(Pat Gelsinger)于 2021 年 3 月推出英特尔晶圆代工业务。该业务旨在重振公司市场地位,并在全球芯片制造领域拥有更大的影响力。但英特尔晶圆代工业务今年第一季度仅带来了 283 亿美元(约 19 亿人民币)营收,作为参考,台积电和三星今年第一季度分别为 175 亿美元(约 1176 亿人民币)和 53 亿美元(约 356 亿人民币)的营收。

英特尔将为联发科代工16纳米制程芯片3

英特尔和联发科今天宣布了一项战略合作,刚起步的英特尔代工服务(IFS)将为联发科(2021年第四大芯片设计公司)生产芯片,用于一系列智能边缘设备。

英特尔将在其 "英特尔16 "节点上制造芯片,这是以前称为22FFL(一种为低功耗设备优化的传统工艺)的节点的改进版。在宣布这一消息时,美国的半导体行业,特别是英特尔,正处于从政府获得大量补贴以增加美国的芯片制造的边缘。

联发科目前使用台积电的大部分代工服务,但它也希望通过在美国和欧洲增加产能来实现供应链的多样化。英特尔的IFS在这两个地区都有设施,符合这一要求,英特尔表示,它预计将建立长期的合作关系,可能会跨越多种技术和应用。

英特尔拒绝对联发科产品的出货时间表发表评论,但表示 "英特尔16 "节点将在2022年为其客户提供磁带输出(硅的首次修订),然后在2023年初提供初步的批量提升。

联发科目前每年生产超过20亿台设备,但目前还不清楚其中有多少将很快来自英特尔的代工厂。英特尔也没有说明联发科在美国或欧洲的生产比例,他告诉Toms Hardware:"我们不能评论客户产品的细节。IFS客户可以利用英特尔全球工厂网络的产能走廊,包括俄勒冈州、亚利桑那州、爱尔兰和以色列的现有晶圆制造厂,以及俄亥俄州和德国的新绿地工厂计划。"

全球绝大多数的处理器都是基于旧的传统节点,而不是英特尔即将推出的尖端技术,因为它希望执行其工艺节点路线图,承诺在四年内有五个节点。

联发科计划生产的智能边缘设备与 "英特尔16 "工艺非常吻合,这是该公司成熟的22FFL节点的改进版,于2018年开始出货。22FFL(FinFET低功耗)工艺针对低成本和低功耗的芯片进行了优化,这些芯片仍然具有很高的性能,同时也提供了设计的简单性,以加快产品的上市时间。

对于英特尔16节点,英特尔将22FFL技术进一步现代化,并增加了对第三方芯片设计工具的支持,这与英特尔内部使用的专有设计工具形成鲜明对比。对于IFS来说,如果它计划将芯片设计者吸引到其生产服务中来,支持第三方电子设计自动化(EDA)软件进行芯片设计是向前迈出的关键一步。

"这是IFS建立一个真正的代工业务的机会。Tirias Research的Kevin Krewell告诉Toms Hardware,"在这个过程中可能会有一些成长的痛苦,所以IFS需要一个愿意与它合作的客户。

英特尔决定向英特尔代工服务(IFS)投入最初的200亿美元资金,因为该公司希望扭转多年来的颓势,部分原因是向联发科等芯片设计公司提供制造服务。IFS已经有了发展势头--它已经签署了高通和亚马逊网络服务(AWS)作为初始客户,并赢得了美国国防部的一份合同。它也引起了其他行业巨头的兴趣,如Nvidia。

但仅靠第一波客户并不能建立起一个繁荣的第三方代工厂,因此英特尔一直在大力投资建设其计划。英特尔斥资54亿美元收购了现有的第三方晶圆厂Tower Semiconductor,该公司是大批量跟踪边缘节点生产的专家,拥有庞大的客户组合,并从台积电招募了像Suk Lee这样经验丰富的来扩大其设计技术生态系统。

该公司还在扩大其视野,向RISC-V生态系统投入10亿美元,承诺在需要时制造Arm芯片,并授权其自己的x86 IP为其客户建立其定制设计。

将联发科的合作关系加入到名单中,是英特尔适应代工商业模式的另一项重要成就。联发科目前与台积电合作,生产其大部分的芯片。不过,最初的英特尔合作似乎不太可能抢走台积电的很多业务,而且两家公司在今天的公告中没有披露任何财务信息。

近日,据彭博社报道称,英特尔正在就将部分高端芯片外包代工的可能性与台积电、三星方面进行洽谈。最终结果或将在两周之后,英特尔的财报会议上正式公布。

据知情人士透露,因为三星在制程工艺上要稍微落后于台积电,所以英特尔更倾向于转向台积电寻求代工支持,舆论也普遍认为台积电在这次竞争中更有优势。实际上早在2020年7月就有媒体称,英特尔与台积电达成了协议,预订了台积电明年18万片6纳米芯片的产能。

无论最终英特尔是否会选择将高端芯片外包代工生产,又或是在台积电和三星中选择哪一方,这个艰难的抉择背后都是英特尔的无奈与“断腕”决心。

对于芯片行业而言,刚刚过去的2020年并不平静。英伟达400亿美元拿下了ARM、AMD350亿美元收购赛灵思、苹果拿出了第一款基于ARM架构的PC芯片M1,并且在性能和功耗上吊打了市场内所有竞争对手。

反观英特尔,依旧没能拿出它的7nm芯片。

回顾英特尔过去一整年的经历,就会发现它正在一点一点靠近那个令人恐惧的“悬崖边”。

去年年初,英特尔凭借着2019年相对不错的财报迎来了一波利好,市值一度逼近3000亿美元大关,达到了有史以来创纪录的2978亿美元。

高光时刻过后,等待它的就是灰色的2020之殇。

7月24日,二季度的财报会议上公司CEO鲍勃·斯旺无奈宣布——7nm CPU及整改7nm产品组合跳票,将会至少推迟6个月的时间。根据现有的规划,10nm台式机CPU Alder Lake、10nm服务器CPU Sapphire Rapids将在2021年下半年开始量产。至于7nm的CPU,则要等到2022年下半年或者2023年才会亮相。

虽然用户早已经习惯了英特尔在制程工艺上的拖延,但这次消息公布后投资人还是给了英特尔一记重拳。第二天,英特尔股价暴跌了1624%。

紧接着的三季度财报,由于出现了营收和净利润的双重下滑,财报公布当天英特尔的股价再度暴跌1058%,市值蒸发了260亿美元。

直到目前,英特尔也仅仅在用于笔记本的移动端产品上使用了基于10nm工艺的低功耗版11代酷睿处理器。在桌面端依然是14nm工艺,10nm的工艺预计在今年下半年才会正式推出。

而反观台积电方面,早在2018年就已经量产了7nm,5nm也开始实现稳定出货,华为麒麟9000以及苹果的A14和M1均是基于5nm工艺的产品。另外,3nm、2nm等更为先进的制程工艺也在积极布局中,根据相关媒体的报道,目前台积电2nm工艺已经取得重大突破,研发进度超前。业界普遍看好其2023年下半年风险试产良率,甚至可能会达到90%。

显然,在芯片的制程工艺上英特尔完全落后于业界先进水平,那么英特尔现在是否已经落伍?

从市盈率上来看,AMD的市盈率都要明显高于英特尔。对此,有芯片行业观察人士对懂懂笔记表示:“英特尔相较于过去的巅峰肯定有一定程度的衰退,而且从时间节点上来看,英特尔确实是落后了很多,但如果说明显落伍倒不至于。”

该人士指出:“台积电的工艺密度其实一直都是偏低的,英特尔已经实现量产的10nm工艺在晶体管密度上甚至要领先于台积电的7nm。另外,一直拖延的7nm据传也与台积电的5nm实力相当。”在该人士看来,目前台积电的5nm仍在大面积出货,英特尔的7nm还没走出实验室,“时间上的差距的确客观存在。”

如果说制程工艺上的落后可以通过放下身段(委外代工)来弥补,那么X86被这个新时代抛弃或许才是真正让英特尔恐惧的大事。

虽然制程工艺上的落后导致英特尔近两年在高端芯片领域频频受挫,但过去数十年积累下来的市场份额依然坚挺,至于X86则是英特尔的绝对顶梁柱。

根据调研机构Mercury Research的数据显示,截止在2019年第四季度的整个x86处理器市场上,Intel占据着844%的份额,AMD则是155%,二者之间差了仍然54倍。

细分市场方面,桌面端英特尔的市占率为817%,同比下滑23%,AMD为183%同比增长524%;笔记电脑为代表的移动市场,英特尔为 838%同比下滑4%,AMD则是162%同比上涨41%;IoT物联网领域,英特尔占据846%,AMD为154%;至于服务器领域,英特尔更是高达955%(同比微跌13%),AMD为45%同比上涨41%。

由此可见,英特尔目前在x86处理器市场上依然拥有绝对领先的地位。但同时也可以发现,AMD在各个细分市场抢夺英特尔蛋糕的速度在明显加快。

更为重要的是,诞生至今43年的X86架构似乎正在被这个时代抛弃。

一个重要的事件,就是英特尔宣布7nm跳票的前一个月,库克宣布苹果与英特尔分手,结束两家15年的合作。在去年双十一,苹果还正式推出了三款搭载基于ARM架构自研芯片M1的笔记本产品。

从芯片表现来看,除了部分软件尚没有完美适配之外,M1一改过去ARM芯片在PC领域性能孱弱的固有印象,无论是从功耗还是性能上来看都完胜英特尔的同期产品。“PC电脑用高性能英特尔X86架构,移动互联网则是ARM架构领先”这一过去多年来的行业共识,正在被逐步改变。

当然,目前在更专业的高端芯片领域,英特尔还有着以低功耗著称的ARM架构芯片无法比拟的优势。以苹果为例,现在的M1芯片显然无法承担起iMac 、iMac Pro 甚至Mac Pro这些有着超高性能要求的桌面级产品。很可能未来会有更高性能的ARM芯片出现,但至少不是现在。

不过对于苹果而言,如果仅仅是推出了一款很厉害的PC芯片或许并不值得英特尔过多的担心,但外界更看重的是M1芯片背后整个生态的变化。

对此,有互联网行业分析师对懂懂笔记表示:“苹果最大的优势在于,它是全球唯一一家拥有自研芯片和 *** 作系统的公司,现在移动芯片和PC芯片同样都是基于ARM架构以后,苹果在不同设备之间的生态连接也更加便利。最重要的是苹果给业界立了一个榜样,ARM架构是完全可以胜任PC产品的,而且使用体验要更好。”

或许是看到了M1的强势表现,其他企业也开始对ARM架构的PC芯片展示出了浓厚的兴趣。

近期有外媒曾爆料称,目前AMD正在研发同样基于ARM架构的PC芯片以作为M1的竞品,并且分为了集成内存和未集成的两种产品型号。

一旦“Wintel 联盟”破碎,对于在X86处理器市场占比超过84%的英特尔而言,才是最致命的。

虽然我们不能就此断定X86将会很快被这个时代抛弃,但只要这样的苗头出现,作为市场主导的英特尔就必须拿出万分的警惕。

2005年,销售出身的保罗·欧德宁终于坐上了CEO的宝座,成为了英特尔公司 历史 上的第五任CEO。

上任首年,他就成功为英特尔拿下了苹果Mac的订单。那张苹果发布会上欧宁德手捧着一整块晶圆穿着兔子服与乔布斯的合影照片,就是来自于第二年的苹果WWDC大会。

不过欧德宁拿下了苹果也错过了苹果,上任之后这位更注重效率的CEO裁撤了面向移动业务的“StrongARM”项目。据称,当时乔布斯极力建议其留下StrongARM项目,为iPhone提供CPU产品。

但欧德宁认为X86也能满足iPhone的需求,拒绝了这个要求。最终没有看中X86的乔布斯直接沿用了iPod的三星ARM架构芯片,这也导致英特尔错过了iPhone这个拥有划时代意义的产品。或许,同时错过的还有进军移动芯片市场的最佳时机。

此后,虽然英特尔多次尝试进军移动芯片市场,但却屡屡受挫。据《财富》的统计数据显示,仅仅在 2013 年至 2014 年间,英特尔在移动领域就损失了近 70 亿元美元的收成。后来欧德宁也坦承“错过iPhone是自己职业生涯中最后悔的一件事”。

如今英特尔又站在了当年一样的转折点——芯片制造是个需要大量资金不断投入的领域,但目前英特尔的技术进程显然已经要大幅落后于台积电、三星等竞争对手。

作为目前全球唯二坚持IDM模式的芯片巨头,如果选择像格罗方德那些企业一样放弃高端制程技术投入,甚至像当年AMD那样完全剥离芯片制造业务,或许也是一条必由之路。

就两个星期前,亿万富翁投资者丹尼尔-勒布在给英特尔董事的一封信里,就在极力敦促其考虑剥离制造业务。

勒布称,英特尔曾是“创新微处理器制造的黄金标准”,但是目前已落后于台积电和三星等竞争对手。同时他还认为,英特尔正面临来自苹果、微软和亚马逊等大型 科技 公司定制芯片的竞争威胁,英特尔必须提供新的独立解决方案以留住客户。

这是一个困难的抉择,无论哪种选择都有合理的理由。

对此,上述芯片行业观察人士也对懂懂笔记表示:“无论哪一种选择,好处和坏处都非常明显。如果放弃IDM模式,可以参考AMD的经历,其早就卖掉了晶圆厂,此后依靠着台积电让自己成功实现了逆袭,营收、股价都一路上涨;而英特尔在芯片设计上的能力搭配上台积电的先进晶圆生产技术,也可以在很短时间上提升产品力,同时英特尔也能将更多的资金投入到芯片设计、数据中心、XPG战略等方向。”

但是此举的负面影响也很明显,“从另外一个角度看的话,一手抓的IDM相比较fabless+foundry的模式更有利于产品设计结构的优化,这也是为什么英特尔的10nm技术并不落后于台积电7nm的原因,这种方式也能带来更好的利润。”

这是一个极其纠结的抉择,但英特尔没有太多的时间去考虑了,它必须尽快做出改变。

结束语

英特尔依然是全球第一的半导体巨头,从收入、利润、市值等方面来看它仍是数倍于AMD等竞争对手。但就像当年诺基亚CEO约玛·奥利拉在将手机业务出售给微软后说的那句话:我们并没有做错什么,但不知为什么,我们输了。

对于现在的英特尔而言,越久的拖延只能让自己的处境更加“不知错在何处”。

—————————————————————————————————

多年 财经 媒体经历,业内资深分析人士,圈中好友众多,信息丰富,观点独到。

发布各大自媒体平台,覆盖百万读者。

《小米生态链战地笔记》、《微信思维》、《微信力量》三本畅销书的作者。

英特尔是美国的。

英特尔是半导体行业和计算创新领域的全球领先厂商,创始于1968年。如今,英特尔正转型为一家以数据为中心的公司。英特尔与合作伙伴一起,推动人工智能、5G、智能边缘等转折性技术的创新和应用突破,驱动智能互联世界。

英特尔公司在随着个人电脑普及,英特尔公司成为世界上最大设计和生产半导体的科技巨擘。为全球日益发展的计算机工业提供建筑模块,包括微处理器、芯片组、板卡、系统及软件等。业界利用这些产品为最终用户设计制造出先进的计算机。

英特尔公司致力于在客户机、服务器、网络通讯、互联网解决方案和互联网服务方面为日益兴起的全球互联网经济提供建筑模块。

人才培养,与中国同行远行

过去、现在和未来,英特尔一直致力于建立人才培养的生态系统。

英特尔连续24年被教育部认可为最佳合作伙伴,通过与教育界合作,大力推动创新人才培养,把基础工作做好,前后共培训270万名教师,支持100多万青少年参加各种竞赛、提升科学素养。

英特尔大力推动产学研合作,通过大学合作和各类大赛,为ICT产业培养人才后备军。比如:英特尔中国与100余所大学合作,每年均有10余万大学生受益;2018年,共有12000多名学生直接参与英特尔举办的各类创新大赛。

英特尔瞄准AI等前沿人才缺口做出努力,培养能引领创新的人才。比如:英特尔AI百佳创新激励计划将分期选拔100+优秀AI创新团队,为其提供技术辅导、开发费用补贴、市场推广、生态对接等全方位支持;英特尔携手合作伙伴,三年将培训一万名FPGA开发人员。



英特尔CEO基辛格曾经表示,希望在2025年英特尔能够重返产品领导者的地位,而就在上个月,英特尔在活动上正式透露了2025年目标计划,包括未来5代工艺制程节点线路图,通过彪悍的战略意图超越所有竞争对手,顺带还重新定义命名规则。


如同80486到奔腾,从奔腾到酷睿,每一次英特尔重大改名决策背后,几乎都会带来一段强劲的技术飞跃。这一次,就让我们抽点时间,聊聊英特尔的2025路线图应该怎么理解。



先说结果


如果你想简单了解整件事情,那么下面的表格应该可以帮助你最简单了解英特尔的时间节点。与往常一样,英特尔的技术用于生产和零售之间是有区别的。例如每个工艺节点可能存在数年,新的工艺与是否投入到实际产品中仍然要看市场运营状况,这里你可以理解为AMD再加把劲,让英特尔的牙膏挤猛一点。


回顾今年早些时候基辛格给出的IDM20战略,你可以理解在战略中一共3个要素,分别是:



可以看到第一点和第三点英特尔都在着重强调如何贯彻自己的工艺节点开发节奏,基辛格在近期的2021第三季度财报前瞻电话会议中曾表示,目前英特尔每天生产的10nm晶圆已经超过14nm,这标志着英特尔已经实现了向10nm工艺制程的转变。同时在今年6月份,英特尔还表示下一代10nm产品还需要额外的验证时间,以简化2022年在企业级产品上的部署。

(手机横屏观看更佳)


仍然需要注意,虽然英特尔一直在强调10nm工艺制程与对等产品的优越性,但台积电7nm和5nm的设计在事实上已经超过了英特尔量产芯片的晶体管密程度,并在出货量上超越了英特尔,这也是为什么基辛格全力推动英特尔内部全面改革,并获得董事会支持的动力所在。


Pat Gelsinger


因此这一次路线图的公布就变得非常重要了,这将代表着英特尔未来4年的战略节奏,或者调侃一点说是挤牙膏的进度。从整体上来看,英特尔正在积极改进新品提升进度,以及让技术之间更为模块化匹配更为成熟。


在IDM 20战略中推动整套技术发展的实 *** 人是去年被任命为英特尔技术与制造总经理安凯乐(Ann B Kelleher),这个部门在2020年7月份成立,专注纯粹的技术开发,安凯乐本人在英特尔已经担任了26年工程师,先后管理过Fab 24(爱尔兰),Fab 12(美国亚利桑那),Fab 11X(美国新墨西哥州),以及在英特尔总部担任过制造与运营部门总经理。


Ann B Kelleher


在会议上,安凯乐博士表示,已经在供应商、生态系统学习、组织架构、模块化设计策略、应急计划上做出了重大改变,同时技术团队也将以更精简的方式运行。英特尔将重返技术领先地位目标定义为“每瓦性能指标”表现,也意味着芯片的峰值性能仍然是英特尔发展战略重要计划的一部分。


Fab 11X


接下来,开始我们的长篇大论。


英特尔工艺制程新命名:重新定义有多小


英特尔重新命名工艺制程名称目的是更好的符合现在的行业命名方式,显然在营销手段上,打不过对方耍流氓,最有效的方式就是加入对方,并在其中依靠业界领导能力重塑业界规则,这一点英特尔是相当有魄力的。


其实在大众认知中,英特尔10nm技术等同于台积电7nm已经不再陌生,2D平面转向3D FinFET的时候,数字表达和物理情况之间再无直接关联,在三星带头下沦为营销工具,这样的混乱已经持续了五年之久。


现在我们先把英特尔公布的线路图放出来:



2020年,英特尔10nm SuperFin。 应用于Tiger Lake和Xe-LP独立显卡解决方案SG1和DG1,名称保持不变。


2021年下半年,Intel 7。 应用于Alder Lake和Sapphire Rapids至强可扩展处理器,以前被称为10nm Enhanced Super Fin,相当于10nm制程的晶体管优化产品,每瓦性能相对10nm SuperFin提升10%到15%。其中Alder Lake已经开始批量试产,也就是我们所期待的即将翻盘的12代酷睿。同时在GPU方面,英特尔Xe-HP也划入Intel 7的范畴中。


2022年下半年,Intel 4。 在此之前被称为Intel 7nm,应用于Meteor Lake和下下一代至强可扩展处理器,目前正在实验室测试阶段。英特尔预计每瓦性能能够比上一代提升20%。Intel 4主要会在后端制程(BEOL)中使用更多的极紫外光刻(EUV)。


2023年下半年,Intel 3。 此前称为Intel 7nm+,将增加EUV和高密度库(High Density Libraries)的使用。这里英特尔新模块化战略将会起到作用,例如Intel 3和Intel 4制程将共享一些特性。相对Intel 4,Intel 3每瓦能够提升约18%。


2024年,Intel 20A。 从这里开始就是英特尔制程的转折点,A代表埃米Ångström,10Å等于1nm,在此之前被称为Intel 5nm。由于英特尔在这个时间点将从FinFET转向RibbonFET,即环绕栅极晶体管设计(GAAFET)方向,原来的5nm称呼其实是不准确的。与此同时,英特尔还在这一代工艺上使用PowerVia技术,将供电模块与计算模块尽可能分离,确保信号不受到干扰


2025年,Intel 18A。 无论是技术沟通会议,还是ChinaJoy2021现场英特尔产品总监的分享,分享细节基本到Intel 20A就结束了,但实际上在2025年之后英特尔工艺制程还将迈入Intel 18A。这里将使用ASML最新的EUV光刻机High-NA,能够进行更精确的光刻 *** 作。英特尔表示他们已经成为ASML在High-NA方面的主要合作伙伴,现在已经开始测试第一台High-NA模型。


如果我们把上述的资料进行简略整理,能够看到一个很清晰的思路:



仍然需要注意的是,上面的时间节点只代表工艺节点可能准备就绪的时间,实际产品发布仍然会有变数。例如采用Intel 7工艺的Alder Lake是今年到明年初CES上市,而Sapphire Rapids则可能会到2022年。


为什么要给制程工艺重新命名?


这可能是大多数玩家最关心的一点。无论是英特尔还是对手三星、台积电,用更小的工艺密度名称来展现产品竞争力仍然是主流做法,如果英特尔使用类似台积电、三星奔放的工艺制程命名规则,可能实际 *** 作中市场部仍然需要表达在同等制程称呼下,英特尔的晶体管密度仍然高很多。



因此切换命名赛道可能才是一个最理智的做派,并且也能很好表达在工艺节点没有提升的情况下,实际表现仍然有明显的进步。以Intel 7为例,原来冗长的名称为10nm Enhanced Super Fin,相当于10nm Super Fin的进阶产品,听起来似乎英特尔又在挤牙膏了。


实际上并非如此,比如10nm到10nm Super Fin看似只加长了命名,实际上使用了新的SuperMIM电容器设计,并带来了1GHz以上的频率提升,因此10nm Super Fin到Intel 7之间也注定意味最终性能上的变化。从目前的初步判断来看,每一代工艺的进步,至少可以带来5%到10%的每瓦性能提升,变化很明显。


事实上这套命名思路已经被三星和台积电玩的炉火纯青,例如三星会在8LPP节点设计的基础上,不断的优化,进而衍生出6LPP、5LPE和4LPE,只有到3GAE的时候才会完成全新的技术迭代。同样,台积电10nm、7nm实际上是16nm工艺的优化设计,属于同一个工艺制程节点范围内。但如果看英特尔从Intel 7到Intel 3之间的发展,将会完成2个,以更快的速度完成工艺迭代,也就是英特尔重返巅峰的重要举措之一。


说个题外话,如果当年英特尔将14nm+改名为13nm,14nm++改名12nm,在台积电批量出货5nm产品之前,也许英特尔的处境看起来似乎也没什么太大的问题。



ASML扮演关键角色


在英特尔的报告中,我们会发现ASML无论在任何时间节点都变得非常关键。由于它是目前世界上唯一一家能够给英特尔提供生产机器的公司,英特尔也注定要在ASML上花费大量的资金,以及持续的技术投入。


在这个即将接近“上帝穹顶”的半导体工艺制程领域里,指望一家独大完全是异想天开,早在2021年,英特尔、三星、台积电都对ASML进行了投资,目的就是加速EUV开发,同时将300mm晶圆迁移到4500mm晶圆上。特别是英特尔的21亿美元投资使他们获得了ASML 10%的股份,并且英特尔也表示会持续投资直至增加到25%的占比。


有趣的是,ASML已经在2021年达到了2680亿美元,已经超过了英特尔的市值。



台积电在2020年8月份的一个报告中显示,ASML的EUV光刻机中,有50%用于前沿工艺,而直至现在英特尔还没有任何产品使用EUV制造,直至Intel 4中的后端制程(BEOL)才会加大力度。目前为止,ASML仍然有50台EUV光刻机延迟交付,并计划在2021年生产45到50台EUV光刻机,2022年产量达到50-60台,每台设备标价15亿美元,安装时间需要4到6个月。



ASML的缺货也可能给促使英特尔选择在Intel 4发力的原因,但更重要的是,ASML下一代EUV技术,即High-NA EUV将会成为英特尔的主要制造技术之一。NA与EUV光刻机的数值孔径相关,简单的说是在EUV光束击中晶圆之前,可以重新增强光束宽度,击中晶圆的光束越宽,强度就越大,刻画出的电路则越准确。


而如果依靠现在的工艺,一般会使用一维或二维光刻特征的双重图案化,亦或者四重图案化来实现类似的效果,但会严重的降低产量,而High-NA EUV则不会遇到这个问题,显然也更符合英特尔的预期。


如果一切顺利,英特尔可能会在2024年获得第一台High-NA EUV光刻机,并在随后逐步增加,数量越多,对英特尔的产量和优势也将越有利。



翻盘技术点1:RibbonFET


拥有更好的光刻机是远远不够的,芯片设计将会成为英特尔重返巅峰的另一个砝码。这里英特尔着重介绍了RibbonFET和PowerVias。


在目前的普遍认知中,常规FinFET一旦失去增长动力,整个半导体制造行业会转向GAAFET,也就是Intel 20A中提到的环绕栅极晶体管设计(GAAFET)。为了便于大家理解,英特尔将其命名为RibbonFET。



RibbonFET的特点是拥有多层灵活宽度的晶体管以驱动电流。与FinFET依赖于源极/漏极的多个量化鳍片和多个鳍片轨迹的单元高度不同,RibbonFET允许单个鳍片长度可变,并且允许针对每个独立单元进行功率、性能、面积优化,相当于每一个单元的模块都可以再定义电流,变化更为多样性。


资料来自三星


英特尔同样也是GAAFET的推动者之一,在RibbonFET的展示PPT中,可以看到同时使用了PMOS和NMOS器件,看起来像4堆栈结构。而堆栈越多,增加的工艺步骤也就会越繁琐。


不过与对手相比,英特尔的速度确实有些落后。台积电计划在2nm制程上过度到GAAFET,时间节点为2023年之后,三星则计划在3GAP制程上部署更多产品,时间节点同样为2023年。而英特尔的RibbonFET需要2024年上半年才会付诸实践,并且实际产品还需要再往后延期一段时间。



翻盘技术点2:PowerVias


PowerVias是Intel 20A另一个重要设计之一。


现代电路设计是从晶体管层M0开始,向上不断叠加大尺寸额外金属层,以解决晶体管和处理器缓存、计算单元等各个部分之间的布线问题。高性能处理器通常有10到20层金属层,最外层晶体管负责外部通讯。


而在PowerVias中,晶体管被放置于设计中间,晶体管一侧放置通讯线,允许芯片之间各个部分进行通讯,所有电源相关的设计放在另一侧,更确切的说,是晶体管背面,也就是我们常说的背面供电。



从整体来看,电源部分与通讯部分分开可以简化很多不必要的麻烦,比如电源供电导致信号干扰。另一方面按,更近的通讯距离能够降低能量损耗,运行方式更为高效。


当然,背面供电也并非十全十美,它对设计和制造都提出了更高的要求,例如在设计制造晶体管的时候,就必须更早的发现设计和制造缺陷,而不是现在可以供电与晶体管设计交替进行。同时由于供电部分的翻转意味着实际发热的时候,需要考虑热量对信号的影响等等。


不过背面供电技术在行业内其实被提出很多年,ARM和IMEC在2019年联合宣布在3nm工艺的ARM Cortex-A53实现类似的技术,特别是在现在设计下,工艺节点提升开始难以换来对等的高性能,改变设计思路无疑是合理的解决方案。



下一代封装:EMIB和Foveros


除了工艺节点,英特尔还需要推进下一代封装技术。高性能芯片需求再加上困难的工艺节点开发,都使得处理器不再是单一的硅片,而是无数更小的芯片、模块组合在一起,因此就需要更好的封装和桥接技术。英特尔EMIB和Foveros就是其中的两个。


EMIB:嵌入式多芯片互联桥接


桥接技术最早给2D平面芯片桥接设计的。通常而言,两个芯片之间的相互通讯最简单的方法是穿过基板形成数据通路。基板是由绝缘材料层组成的印刷电路,其中散布着蚀刻轨道和金属迹线。根据基板的质量、物理协议和使用标准,可以得出传输数据时达到电力、带宽损耗等等,这是最便宜的选择。


基板的进阶形式是,两个芯片通过一个中介层桥接。中介层通常是一大块硅片,面积足以让两个芯片贴合。类似于插座一般,硅片对应不同芯片会提供相应的接口,并且由于数据从硅片移动到硅片,功率损失要比基板小得多,带宽也更高,缺点是作为中介层的硅片也需要额外制造,制程通常在65nm以上,并且所涉及的芯片要足够小,否则成本降不下来。


英特尔EMIB则正好是中介层硅片以及基板的融合体。英特尔没有使用大型的中介层,而是用小硅片将其嵌入到基板中,从而变成具备插口的桥接器,这使得桥接性能不会受到硅片成本过大,以及基板效率过低的影响。



但EMIB嵌入基板其实并不容易,英特尔已经给为此花费了数年时间和资金完善这项技术,并且桥接过程中必然会存在良品率的问题,即使每个芯片桥接都能达到99%的林频率,一旦多个芯片同时桥接,则会下降到87%。


目前已经投放市场的EMIB技术有几款产品,包括Stratix FPGA 和 Agilex FPGA 系列,以及前段时间在消费端火热的Kaby Lake-G,将英特尔CPU和AMD GPU融合。接下来英特尔还计划在超级计算机图形处理器Ponte Vecchio、下一代至强Sapphire Rapids,2023年消费级处理器Meteor Lake,以及GPU相关芯片使用这项技术。


在EMIB线路图上,英特尔计划在未来几年内继续缩小EMIB的触点间距,以获得更多的连接性能。2017年发布的第一代EMIB触点间距为55微米,第二代EMIB将达到45微米,第三代EMIB则可能达到35微米。



Foveros:真正的叠叠乐


在2019年,英特尔在Lakefield上第一次使用了Foveros芯片到芯片的堆叠技术,虽然Lakefield这款低功耗移动处理器已经停售,但是芯片到芯片堆叠技术开始陆续在其他产品中推广开来。在很大程度上,芯片堆叠与EMIB部分中介层技术相似,所不同的是顶部的内插器、基片需要上一层芯片的完整有源供电。例如Lakefield处理器部分使用的是10nm制程,但诸如PCIe通道、USB接口、安全性以及IO相关则通过22FFL低功耗制程连接。



虽然这仍然属于EMIB技术的2D缩放范畴,但实际上这个 *** 作已经完成了完整的3D堆叠,并且功率损失更小,连接性更好,第一代Foveros触点间距为50微米,而第二代Foveros则可以做到36微米触点间距,连接密度增加一倍,最快会在消费级处理器Meteor Lake用上。

如果你听说过英特尔封装技术,缩写ODI,即Omni-Directional Interconnect可能听说过,这是一个允许使用悬臂硅的封装技术名称,在Foveros上变成了第三代Foveros Omni。



Foveros Omni使得原本第一代Foveros的顶部芯片尺寸限制被取消,可以允许每层多个尺寸芯片叠加。因为Foveros Omni允许铜柱通过基板一直延伸到供电部分,因此解决了大功率硅通孔(TSV)在信号中造成局部干扰的窘境。此时Foveros Omni触点间距降低到25微米。如果一切顺利,Foveros Omni将会在2023年为批量生产做好准备。



紧接着第四代Foveros Direct能够将触点间距降到的10微米,密度是Foveros Omni的六倍,并且使用全铜连接,拥有更低的功耗和电阻,推出的时间也在2023年,与Foveros Omni同步,以应对不同成本和情况的解决方案。



写在最后:性能突破终有时


英特尔给我们描绘了一个2025年的芯片制造的宏伟蓝图,而推动庞大计划背后可能会有数百家供应商与客户的谈判,而为了推进这项计划,英特尔也不惜重金聘请以往在英特尔就职的专家和研究人员,进而推进当前的研究进度。


如果想从每瓦功率上有所突破,唯有不断的将工艺、封装、设计向前推进,同时考虑到客户和市场的实际需求,做到多方面平衡相当不容易,但至少,我们看到了英特尔对重返巅峰充满决心。


英特尔公司宣布,正在建设中的大连芯片厂(Fab 68)将采用65纳米制程技术,这座全新的300毫米晶圆厂在2010年建成投产后,将生产制造先进的芯片组产品。英特尔大连芯片厂总经理柯必杰(Kirby Jefferson)在“第七届中国国际软件和信息服务交易会”上宣布了这一决定。
英特尔大连芯片厂投资总额 25 亿美元,是1992 年后英特尔另行择址新建的第一个晶圆工厂,也是英特尔在亚洲建立的首个300毫米晶圆制造工厂。65纳米制程技术是目前美国政府批准可采用的最高级别的生产技术,而300毫米是半导体行业最先进的晶圆尺寸标准。未来大连芯片厂制造的芯片组将面向全球市场,应用在英特尔新型和主流CPU平台上,为最新型的笔记本和台式机,包括流行的超薄型和经济型笔记本电脑产品提供支持。
大连芯片厂总经理柯必杰表示:“大连芯片厂的建设没有受到全球经济衰退的影响,工厂将于2010年如期建成投产。英特尔对中国及东北地区的经济增长充满信心,并致力于将先进的制造技术引入中国,大力支持大连IT产业生态系统的建设。大连芯片厂制造先进的芯片组产品,对英特尔的未来战略发展具有重大意义。我们在大连芯片厂将奠定一个坚实的基础,为今后的技术升级做好准备。”
大连芯片厂的建设正在按计划稳步推进。综合办公大楼和数据中心IT机房已经落成并投入使用。工厂厂房建设将在今年夏末完成,随后进入设备安装和调试阶段。目前,大连芯片厂雇佣的员工人数已经达到500人,计划投产后员工人数将达1200至1500名员工。
拥有163,000平方米厂房面积的大连芯片厂,在建成后将巩固英特尔在中国芯片制造领域的领先地位,积极推动本土技术人才的培养,并加快中国信息技术产业生态系统的建设。
英特尔大连芯片厂在设计与建造过程中努力减少对环境的影响。英特尔在自身运营中始终秉持世界领先的环保理念,并将其运用在大连芯片厂的设计和建造中。工厂在设计上符合英特尔环保各方面的最高标准,包括用水、能源和化学废弃物处理等。
在中国,大连芯片厂已经成为大连半导体产业发展的催化剂,目前已吸引了12家英特尔供应商前来投资。大连市委书记夏德仁表示:“英特尔项目的意义已经超出了项目本身,它对大连IT产业的发展、对带动大连的就业和创新城市的建设都有重要的意义。目前已有70多家与英特尔有关联的企业与大连签订了合作协议,或者在大连建厂,或者为英特尔提供配套。大连市正在积极发展以英特尔大连芯片厂为中心,集制造、装配、材料、软硬件设计在内的集成电路产业园区。”


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/12946535.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-29
下一篇 2023-05-29

发表评论

登录后才能评论

评论列表(0条)

保存