新思科技数字和定制设计平台获得台积公司N3制程认证

新思科技数字和定制设计平台获得台积公司N3制程认证,第1张

-该平台优化了面向下一代HPC、移动、5GAI设计的PPA

加利福尼亚州山景城2021年11月2日 /美通社/ --

要点:

  • 新思科技平台提供强化功能,以支持台积公司N3和N4制程的新要求
  • 新思科技Fusion设计平台能够提供更快的时序收敛,并确保从综合到时序和物理签核的全流程相关性
  • 新思科技定制设计平台可显著提高生产力

新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布,其面向台积公司N3制程技术的数字和定制设计平台已获得台积公司的认证,以共同持续优化下一代片上系统(SoC)的功耗、性能和面积(PPA)。基于多年的密切合作,本次认证包含基于台积公司最新版本设计规则手册(DRM)和制程设计套件(PDK)的严格验证,是新思科技与台积公司长期战略合作的成果。除此之外,新思科技的数字和定制设计平台还通过了台积公司N4制程的认证。

台积公司设计基础设施管理事业部副总经理Suk Lee表示:“通过与多年密切合作,新思科技的设计平台解决方案在台积公司的最先进工艺上获得认证,共同协助客户实现PPA的优化,尤其是在下一代HPC、移动、5G和AI设计中,将协助客户快速将创新产品推向市场。”

该数字设计流程以紧密集成的新思科技Fusion设计平台™为基础,采用先进新技术以确保更快的时序收敛,并实现从综合到布局布线、再到时序及物理签核的全流程相关性。该平台得到强化后,可提供更佳的综合和全局布局器引擎,从而可优化库单元选择和布局结果。为支持台积公司的超低电压设计收敛,新思科技对优化引擎进行了改进,以便使用全新的内存优化算法。这些新技术是两家公司之间战略合作的成果,将为采用台积公司N3制程的设计带来PPA的大幅提升。

Custom Compiler™设计和版图解决方案是新思科技Custom Design Platform的一部分,可为使用台积公司先进制程技术的开发者提供更高的生产力。Custom Compiler的诸多强化功能已经获得包括新思科技DesignWare IP团队在内的早期采用N3制程的用户的验证,可减少为了满足N3技术要求而投入的工作量。新思科技PrimeSim ConTInuum解决方案中的PrimeSim™ HSPICE®、PrimeSim SPICE、PrimeSimPro和PrimeSimXA仿真器,可缩短基于台积公司N3制程的设计迭代时间,并可为电路仿真和可靠性要求提供签核。

新思科技数字设计事业部总经理Shankar Krishnamoorthy表示:“通过与台积公司在早期的持续合作,我们为采用台积公司先进的N3制程技术的设计提供了高度差异化的解决方案,让客户更有信心成功设计出复杂的SoC。基于这些应用于3纳米全流程的大量技术创新,开发者可以充分利用在PPA方面的重大提升,实现下一代HPC、移动、5G和AI设计。”

新思科技设计平台中的以下关键产品已经强化,可满足制程技术要求:

数字设计解决方案

  • Fusion Compiler™ RTL-to-GDSII解决方案
  • Design Compiler® NXT综合解决方案
  • IC Compiler II™布局布线解决方案

签核

  • PrimeTIme®时序签核解决方案
  • PrimePower功耗分析
  • StarRC™寄生参数提取签核
  • IC Validator™物理验证解决方案
  • Tweaker™ ECO收敛解决方案
  • NanoTIme定制电路时序签核
  • ESP-CV定制电路功能验证
  • QuickCap® NX寄生参数提取3D现场求解器
SPICE仿真和定制设计

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/2445506.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-08-03
下一篇 2022-08-03

发表评论

登录后才能评论

评论列表(0条)

保存