FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS)

FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS),第1张

IBUFDS、IBUFGDS和OBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转换。

1)IBUFDS是差分输入的时候用;

2)OBUFDS是差分输出的时候用;

3)IBUFGDS则是时钟信号专用的输入缓冲器。

下面详细说明:

IBUFDS是一个输入缓冲器,支持低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

  input input output

  I IB O

  0 0 No change

  0 1 0

  1 0 1

  1 1 No change

IBUFDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

2、OBUFDS(DifferenTIalSignaling Output Buffer with Selectable I/O Interface)//差分输出时钟缓冲器

OBUFDS是一个输出缓冲器,支持低压差分信号。OBUFDS隔离出了内电路并向芯片上的信号提供驱动电流。它的输出用O和OB两个独立接口表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是,相位相反。

  input input output

  I IB O

  0 0 No change

  0 1 0

  1 0 1

1 1 No changeance_name (.O (user_O), .I (user_I), .IB (user_IB));

3、IBUFGDS(DedicatedDifferenTIal Signaling Input Buffer with Selectable I/O Interface)//专用差分输入时钟缓冲器

IBUFGDS是一个连接时钟信号BUFG或DCM的专用的差分信号输入缓冲器。在IBUFGDS中,一个电平接口用两个独立的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

  input input output

  I IB O

  0 0 No change

  0 1 0

  1 0 1

  1 1 No change

  IBUFGDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/2601152.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-08-09
下一篇 2022-08-09

发表评论

登录后才能评论

评论列表(0条)

保存