半导体简史:美国削减成本,逐渐失去领先优势

半导体简史:美国削减成本,逐渐失去领先优势,第1张

如今的美国仍是半导体行业发展的优势者,在半导体产业发展之初,美国是如何发展并获得如今的地位?ICViews编译了美国半导体发展的简史,期望从美国半导体的发展历程中找到一些答案。

早期的美国产业政策为各种参与者提供了角色:小公司在技术前沿进行试验,而大公司追求流程改进,来扩大这些创新的规模。美国政府的需求确保了实验在财政上是可行的,而技术转让规定确保了大公司和小公司共享进步。重要的是,定期采购为企业提供了继续迭代所需的流动性,而无需依赖大规模的一次性产品。这种工业政策鼓励创新,确保小公司能够获得国内大规模生产创新设计的机会,同时允许大公司获得大规模生产这些创新设计的好处。

随着行业的成熟和竞争环境的变化,美国政策框架也发生了变化。

自20世纪70年代以来,产业政策逐渐被轻资本的“科学政策”战略所取代,而庞大的龙头企业和轻资产创新者已经取代了一个由大小生产型企业组成的强大生态系统。虽然这一战略最初取得了成功,但它已经造成了一个脆弱的体系。如今,半导体行业一方面受到脆弱的供应链的约束,这些供应链仅为少数拥有庞大资金链的公司量身定制,另一方面又受到许多轻资产设计公司的约束。

尽管美国半导体行业在上世纪90年代重获主导地位,但由于这种政策方针,导致如今美国半导体行业的技术和商业优势比以前更加脆弱。随着台积电的崛起超过英特尔,美国已经失去了前沿技术,美国企业面临着关键的供应瓶颈。疫情暴露出的供应链问题表明:半导体作为一种通用技术,在几乎所有主要供应链中都发挥着作用,且半导体生产是一个至关重要的经济和国家安全问题。虽然政策可以发挥明显作用,但对于技术进步的过程又有其限制性,支持新思想的发展,而不是将新技术转向资本。制程技术的创新是一种实践的过程,需要不断建立与营运新的生产线。但在美国的低资本环境中,半导体产业很难达到边做边学。

半导体供应链的每个部分都有技术创新,并受益于多样化的参与者和动态的劳动力市场。劳动力不仅是技术前沿的成本中心,而且是创新过程的关键投入。在解决目前的短缺问题时,政策制定者应该认识到半导体产业政策的教训,创建一种强劲竞争生态系统来激励创新。

在半导体行业成立之初,美国政府利用产业政策和科学政策帮助培育了半导体企业的多样化生态。财政支出为这个高度投机的行业提供了必要的流动性。为了保持创新和充满活力的竞争生态系统,战略也需要持续的干预。

美国美国国防部(DoD)使用采购协议和准监管措施来确保公司的生态系统和技术进步的广泛传播。美国政府合同为早期的公司创造了一个现成的市场,美国国防部渴望扮演第一客户的角色。由于确信会有大规模半导体生产的需求,对于许多早期的小公司来说产能投资在财务方面是可行的。

作为许多公司的核心客户,美国国防部对行业的最新技术发展有着清晰的看法,并利用这种看法直接促进公司和研究人员之间的对话和知识共享。与此同时,“第二来源”合同要求美国国防部购买的任何芯片都必须由至少两家公司生产,将采购与技术转让联系起来。美国国防部甚至要求贝尔实验室和其他大型研发部门公布技术细节,并广泛授权他们的技术,确保所有可能与美国国防部签约的公司都能获得创新的基石。

这一体系加快了行业的创新步伐,并迅速蔓延。政府采购协议确保了投资者的支出意愿,而且也增加了用于重复生产的资本货物的支出,从而帮助流程得到显著改进。与此同时,工人在整个系统中自由流动,可以在一家公司获得的知识应用于改善其他公司的生产流程。

在这种竞争环境下,结合那个时代的反垄断做法,鼓励大公司发展大型研究实验室,鼓励小公司进行疯狂的实验。成功的实验帮助创建了新的大公司,或者被已经存在的大公司扩大规模。来自美国国防部的行业指导帮助推动技术向新的方向发展,同时保持行业产能的一致性和针对性。至关重要的是,这一战略在隐性上优先考虑的是整个板块新技术的发展,而不是让任何一家公司的收入最大化或成本最小化。如果公司需要投资并持有资本货物的话,也有融资的渠道。政府保护这个行业不受所谓的“市场约束”的影响,以便产业把重点放在创新和生产上,而不是狭义的经济成功上。

然而,到20世纪60年代末,行业发展迅速,导致政府采购以及政府通过第二源合同等实施准监管的能力已经变得相对不重要了。20世纪40年代末,半导体行业的存在是以军事采购为基础的,但到60年代末,军事采购在市场中所占的比例不到四分之一。

20世纪70年代:蓬勃发展的商业市场

这一时期,尽管美国政府采购和指导相对不重要,但由于商业应用的繁荣和缺乏严肃的国际竞争,美国国内半导体公司迎来了黄金时代。

虽然产业政策促进了早期的创新和产能建设,但在20世纪70年代,政策的相对缺失却几乎没有被注意到。可以肯定的是,政府采购在20世纪70年代仍然发挥了一定的作用,但随着私营企业开始将电子产品纳入其供应链,它们成为了更重要的采购商。开始大规模生产计算机也与半导体的发展有着共生关系,因为芯片的需求推动了封装和集成的进步。

事实上,美国国防部的优先级和商业客户的优先级出现了分歧。美国国防部为特定的军事问题寻找合适的解决方案,尤其是基于非硅的或宇宙级的半导体的开发,这些涉及的商业应用很小。政府和半导体公司都认识到,这个行业不再需要直接指导。所以,双方的需求开始出现分歧。

在20世纪70年代,蓬勃发展的非国防市场意味着成功的小公司和大公司在没有政府支持或协调的情况下也能共存。技术的改进转化为工艺的改进,后者反过来又推动了前者的进一步改进。MOS IC、微处理器、DRAM等新发明将行业推向了新的高度,并递归式地提出了进一步的创新路径。

在普遍繁荣和创新的环境下,半导体展现出作为通用技术的重要性,在整个经济中都得到了广泛应用。尽管美国的大型研究实验室以及制造部门持有了大量资产,但在国际上缺少竞争以及市场的蓬勃发展确保了无论是在创新还是利润方面,大多数投资最终都是可行的。

20世纪80年代:国际竞争激烈

然而,这种竞争环境所带来乐观情况在上世纪80年代被打断,当时,在日本国际贸易产业省的产业政策指导下,美国将市场和技术主导地位拱手让给了日本企业。

美国政府最初不得不创建半导体市场,而日本能够围绕一个快速增长且已经存在的市场制定产业政策。因此,日本能够采取比美国严厉得多的建设基础设施的政策,协调计算机和半导体领域的合资企业,因为日本知道自己的产品有现成的商业市场。虽然政府支持和协调投资的战略与美国在五六十年代使用的战略相同,但用于实施该战略的战术是为适应上世纪80年代的竞争环境而量身定做的。

来自日本的竞争对美国公司产生了巨大的影响。在随后的市场动荡中,许多人永久退出了DRAM市场。行业还成立了倡导小组来进行生产协调,并游说政府对关税和实施贸易政策进行干预。半导体工业协会游说要对日本的“倾销”采取保护措施,同时成立了半导体研究公司,组织和资助与商业市场相关但与美国国防部无关的半导体开发方面的学术研究。半导体制造联盟由行业成员与美国国防部共同资助,一开始的目的主要是用较早期的产业政策推动企业之间的横向合作。但是,为了成本的最小化,联盟很快就把重点转向供应商与制造商之间的垂直整合上面。

落后的半导体已经成为商品,可互换,并根据单位成本进行判断。由于技术和经济因素的共同作用,传统的垂直整合公司在20世纪80年代开始解体。鉴于当时美国的经济形势,在竞争激烈得多的全球市场上,人们几乎没有兴趣投资于低附加值活动的产能。

相反,大公司吸纳了小公司仍然拥有的生产力,创建了大企业集团。MOS晶体管作为行业主导设计的出现,公司开始采用类似的设计原则,使专攻制造的“代工厂”变得经济。随后的垂直解体导致了大型、垂直整合的企业集团的出现,与专注于设计的小型“无晶圆厂”公司共存,这些公司进行设计,但不生产芯片。理论上,这些“无晶圆厂”公司在追求创新设计策略的同时最小化成本,且保留了灵活性。20世纪90年代,随着美国公司开创新的产品类别,日本公司面临来自韩国的竞争,美国行业对这一战略的接纳导致了市场份额的复苏。

在政策方面,美国从未回归到国内产业政策。相反,国外产业政策计划的成功是国内整合、垄断、贸易保护主义以及科学研究资金合力来实现的。

20世纪90年代:科学政策,而非产业政策

20世纪80年代本行业面临着技术和竞争环境的变化,90年代则见证了美国新的“科学政策”走向高潮。20世纪90年代,无论是美国过去采取的那种政策,还是更多受到日本通产省影响的做法,美国都没有重返产业政策,而是将“科学政策”的引入视为政府在半导体制造领域采取行动的新范式。科学政策的重点是促进与公司个体的公私合作,让行业研发与学术研发更紧密地结合,保证研究力量的广泛性,形成可支持轻资产运营的创新型公司的行业结构。

政策目标从创建一个具有强大供应链的强大竞争生态系统转变为创建公私机构,以协调研究人员、无晶圆厂设计公司、设备供应商和大型“冠军企业”之间的复杂切换。这样一来,没有企业需要在研发上投入过量的资金,从而保持全球成本竞争力,而政府也可以避免大规模投资支出。下面的图表来自于半导体行业协会制作的1994年美国国家半导体技术路线图,展示了科学政策背后的策略:

“科学政策”的中心主题是非冗余的效率,这与早期的产业政策侧重于冗余和重复,形成对比。早期产业政策大大加快创新步伐,并确保了单个公司的失败不会影响供应链的稳健,但这确实意味着大量的重复投资。尽管这种方法有助于推动流程改进的采用,静态股东价值最大化表明,这种重复在经济上太浪费了。

过去几十年的产业政策促进了大规模就业,这是创新的核心驱动力。而20世纪90年代的“科学政策”为了最低效率而避免了这种做法。员工频繁更换公司,边做边学是创新的核心途径。事实上,《经济地理》中的“非交易的相互依赖”文献在一定程度上解释了半导体行业工人群体的融合对该行业的快节奏创新是多么重要。虽然在一个地方保持大量的工人是许多进步的关键,但在这个新的竞争环境中,这被视为一种浪费。劳动力在单位成本中占有相当大的比例,企业相信,如果他们能有策略地缩小规模,全球竞争力就会恢复。

在半导体行业的早期,相对价格不敏感的政府合同占总销售额的很大一部分,这种低效率被看作是创新的成本。随着外国竞争对手的加入,成本敏感的商业市场成为半导体的主要买家,这种能力的复制似乎像是一个纯粹的成本中心,对很多公司却没有什么好处。对盈利能力的担忧意味着要确保重复的工作要尽可能少,以便在对价格敏感、竞争激烈的环境下控制成本。这造成了一个集体行动的问题,即削减开支符合每个企业的利益,但这样做进一步恶化了美国企业的创新能力。

在20世纪90年代,美国政府没有回到产业政策,而是选择了成本低得多的科学政策项目。理想情况下,“科学政策”将允许政府协调企业相互矛盾的节约愿望,而不会在技术上进一步落后。然而,为了符合时代精神,美国政府也在努力节约,不会为产业政策在新的竞争环境中取得成功提供所需的大规模财政支持。

相反,政府将花费更少的钱,并尝试开创一种劳动分工,允许所有参与者在不牺牲技术前沿的前提下削减成本,以追求利润。为此,它一方面资助学术研究实验室的研发,另一方面资助产业集团将研究转化为商业能力。在某种程度上,这进一步降低了单个公司的研发投资,因为进步只创造了最小的竞争优势。这种结构没有建立具有重叠供应链的生态系统,而是形成了一种分工,每家企业与机构都负责一个明显可分割的单独部分。同时,宽松的贸易政策与密切的贸易网络,让企业能更经济地进入无工厂模式,发展轻资产战略。目的是通过解决一个集体行动问题,减少整个系统的冗余,从而为公共和私营部门以最经济的方式重新夺回技术前沿。

在短期内,这个策略奏效了!到上世纪90年代末,美国半导体和其他技术领域的投资普遍繁荣,美国成功地恢复了技术优势。这个行业得以在保持国际竞争力的同时,又不需要国内产业政策大规模财政支持的情况下进行创新。大多数公司个体把研发重点集中在生产过程开发的下一两个节点上,而更长期的研究则是由政府资助的学术研究人员来组织。产业团体介入,将这种学术研究转化为商业行为,并在很大程度上消除了研发和生产的重复劳动成本。大型集中的研究实验室被掏空,供应链变得更狭隘,仅针对少数核心公司的研究需求。

21世纪:互联网泡沫破灭和收益递减

然而,这种策略的短期成功是以巨大的长期成本为代价的。劳动力和资本的冗余有助于确保公司能够快速改进内部化流程,同时也培训下一代工程师和技术人员。虽然从单一时期股东收益静态最大化的角度来看,这种重复可能是多余的,但它对确保长期创新轨迹至关重要。“消除冗余”和“增加脆弱性”是同一枚硬币的两面。

从长期来看,劳动力和资本投资不足会在某些方面显现出来,无论是在资产负债表上,还是在创新能力上,或者两者兼而有之。就目前情况而言,美国有可能失去其在尖端设计方面的优势,而且在尖端制造领域的霸主地位已在很大程度上被台积电夺走。将投资过程中的一部分分配给每家公司可能会使每家公司的资产负债表看起来更加稳健,但由于持续的投资不足,整个行业已经变得更加脆弱。数十年的劳动力成本最小化使得熟练技术人员和工程师的数量减少,而数十年的产能投资不足也阻碍了国内企业应对目前劳动力短缺的能力。

该行业目前的问题是科学政策战略的长期自然结果,该战略在上世纪90年代末和21世纪初似乎非常成功。整合和垂直整合的驱动力集中在学术实验室的长期研究、庞大的“冠军企业”和轻资产的“无晶圆厂”创新者,创造了一个摇摇欲坠的竞争生态系统。

由于这些冠军企业在竞争格局中占据的比例非常大,它们的研发优先级和中间投入需求为整个行业设定了条件。像英特尔这样的大买家可以或明或暗地利用他们的相对垄断权力,围绕他们的需求来构建供应链。当更广泛的经济需求发生转变时,例如疫情爆发以来,这些脆弱的供应链很容易出现问题。这种脆弱性是供应链优化的结果,但这种优化针对的是短期盈利能力以及消除冗余,而不是针对整个经济的需求。

无论是有意还是无意,这些大型也会围绕自身的财务需求和计划来制定技术发展道路。因此,学术实验室的研发与税收优化和私营企业单位成本最小化相结合的政策组合,创造了重大的技术路径依赖。与此同时,从技术意义上讲,这些企业“太大而不能倒”:如果它们错过了流程改进,同样规模的国内竞争对手的缺席意味着整个行业都错过了这一进步。在这个意义上,技术政策作为一个整体被委托给了私营行为者。

从研发到生产的过程,也出现不一致的反馈。科学政策的关键是将知识产权的创新与生产过程的创新分开;也就是说,科学政策优先考虑研究、设计与创意,而不是实施、生产与投资。因此,专注于设计的无工厂公司兴起,并将制造外包给海外的代工厂。

然而,把研发放在首位反而会降低创新的速度。单是补贴研发跟激励离岸外包没有什么区别:政策奖励的是知识产权的发展,而不是有形资产的所有权。问题在于,过程改进来自于新物理资产所包含的新技术的实施。“边做边学”是技术创新的关键部分。优秀的工程师希望对供应链每一个环节的生产过程的每一个步骤都进行创新。前沿设计的离岸和外包生产给流程周围引入了一个黑箱,导致收益无法实现最大化的类似问题无法得到纠正。只把焦点放在研发上,会把这些过程改进的发展离岸化,导致国内的生产商吃不饱,同时还阻碍了劳动力开发新技能。

学术研究偏离了商业化的道路,无法驱动产业的创新。考虑到学术研究往往围绕着与当前生产相关性低的问题展开,因此有时无法为现有技术的替代应用或替代过程驱动的创新路径提供见解。由于科学政策让这个群体负责整个行业的长期创新战略,这一盲点不能被忽视。事实上,摩尔定律的失败,以及在许多应用中为异质芯片设计独特的转变,这些都很好地说明了创新在任何时候往往都暗示着技术发展存在。

数十年来在工业产能和就业方面的投资失败,造成了美国企业高度依赖外部制造工厂的局面。台积电目前投资于一家中国台湾本土制造工厂的计划,表明该公司试图通过收购来解决这个问题,而不减少我们对单一供应商提供领先设计的依赖。相反,我们应该回顾半导体生产初期的产业政策 历史 ,重新夺回技术前沿,在供应链的每一个节点上推动创新。

如今,美国面临着半导体短缺和创新能力减弱的问题,政策制定者正考虑采取严肃的干预措施。虽然现在解决目前的短缺可能已经太晚了,但可以防止下一次短缺。美国两党对基础设施支出的广泛支持、疫情后重建得更好的必要性,以及对半导体采购的国家安全担忧,都应该鼓励政策制定者认为,现在正是进行雄心勃勃的改革的时候。如上所述,半导体产业政策的 历史 为如何最好地创造高就业、技术创新和强大的国内供应链提供了许多经验教训。

历史 表明,科学政策是产业政策的必要补充,但本身是不够的。协调研发是任何解决方案的必要组成部分,但并非全部解决方案。为了获得工艺改进,并确保劳动力具备在技术前沿 *** 作的足够技能,该行业需要看到持续的产能扩张。然而,正如我们之前所显示的,在低需求环境下,私营企业明显不愿进行不确定的投资。产业政策,通过结合政府采购和融资担保、直接融资等方式,是为该行业提供充足流动性的唯一途径,以确保产能扩张足够快,该行业保持在技术前沿。同时,政府有财政能力让国内企业生产落后的半导体产品,以保障国家安全和供应链的d性理由。从长远来看,以股东最大化为目标的产业外包政策尚未形成。

同样重要的是要认识到强劲的经济需求和因此而紧张的劳动力市场,特别是半导体生产的劳动力市场,对这些政策的成功至关重要。由政府主导的强有力的投资建设将为各种经验和技能水平的人创造良好的就业机会。这将创造高技能的劳动力,以及驱动有意义的过程改进的边做边学的充足机会。在高技能、高资本密集度的行业,劳动力几乎就像另一种形式的资本商品,为投资支付明显的红利。然而,在缺乏足够的就业机会的情况下,这些专业技能会随着工人转向其他行业而消失。这并不是说提高劳动力技能就足够了:如果立法创造了培训项目,却没有同时创造必要的就业机会和投资,那么很快就会弄巧成拙。

在半导体和其他关键行业的产业政策所需的资金投入规模上,一些人可能会犹豫不决。这是一个巨大的市场,有着巨大的价格标签,现代制造工厂的成本高达数十亿美元。然而,半导体是一种关键的通用技术,几乎进入每一个供应链。大规模的产业政策可以防止瓶颈时期拖累经济增长,同时为国家安全需求创建一个强大的国内供应链。相对于最初对半导体技术的投资,回归产业政策的成本要高得多,但回报会更高。作为4万亿美元基础设施或两党供应链法案的一部分,振兴落后和领先的行业,并恢复一个强大的竞争生态系统,是一项不容错过的好投资。

政策目标很简单:制定一个扩大的产业政策工具包,以鼓励创新、国内劳动力市场紧张以及维护关键的供应链基础设施。半导体作为一个产业,由于投资规模和所需的工作岗位,是制定这些政策工具的理想起点。重建一个强劲的创新环境,也将有助于美国持久地回到技术前沿,并创造就业和投资,在未来几年带来回报。半导体在现代工业经济中发挥着至关重要的作用,它们的技术路线太重要了,不能以短期盈利能力为指导。政府有机会也有责任利用产业政策在下一次短缺发生之前阻止它,同时确保美国保持其在技术前沿的地位。

台积电开启晶圆代工时代,成为集成电路中最为重要的一个环节。 1987 年,台积电的成立开启了 晶圆代工时代,尤其在得到了英特尔的认证以后,晶圆代工被更多的半导体厂商所接受。晶圆代工 打破了 IDM 单一模式,成就了晶圆代工+IC 设计模式。目前,半导体行业垂直分工成为了主流, 新进入者大多数拥抱 fabless 模式,部分 IDM 厂商也在逐渐走向 fabless 或者 fablite 模式。

全球晶圆代工市场一直呈现快速增长,未来有望持续 。晶圆代工+IC 设计成为行业趋势以后,受益 互联网、移动互联网时代产品的强劲需求,整个行业一直保持快速增长,以台积电为例,其营业收 入从 1991 年的 1.7 亿美元增长到 2019 年的 346 亿美元,1991-2019 年,CAGR 为 21%。2019 年全球晶圆代工市场达到了 627 亿美元,占全球半导体市场约 15%。未来进入物联网时代,在 5G、 人工智能、大数据强劲需求下,晶圆代工行业有望保持持续快速增长。

晶圆代工行业现状:行业呈现寡头集中。 晶圆代工是制造业的颠覆,呈现资金壁垒高、技术难度大、 技术迭代快等特点,也因此导致了行业呈现寡头集中,其中台积电是晶圆代工行业绝对的领导者, 营收占比超过 50%,CR5 约为 90%。

晶圆代工行业资金壁垒高。 晶圆代工厂的资本性支出巨大,并且随着制程的提升,代工厂的资本支 出中枢不断提升。台积电资本支出从 11 年的 443 亿元增长到 19 年的 1094 亿元,CAGR 为 12%。 中芯国际资本性支出从 11 年的 30 亿元增长到了 19 年的 131 亿元,CAGR 为 20%,并且随着 14 nm 及 N+1 制程的推进,公司将显著增加 2020 年资本性支出,计划为 455 亿元。巨额投资将众多 追赶者挡在门外,新进入者难度极大。

随着制程提升,晶圆代工难度显著提升。 随着代工制程的提升,晶体管工艺、光刻、沉积、刻蚀、 检测、封装等技术需要全面创新,以此来支撑芯片性能天花板获得突破。

晶体管工艺持续创新。 传统的晶体管工艺为 bulk Si,也称为体硅平面结构(Planar FET)。 随着 MOS 管的尺寸不断的变小,即沟道的不断变小,会出现各种问题,如栅极漏电、泄漏功 率大等诸多问题,原先的结构开始力不从心,因此改进型的 SOI MOS 出现,与传统 MOS 结 构主要区别在于:SOI 器件具有掩埋氧化层,通常为 SiO2,其将基体与衬底隔离。由于氧化 层的存在,消除了远离栅极的泄漏路径,这可以降低功耗。随着制程持续提升,常规的二氧 化硅氧化层厚度变得极薄,例如在 65nm 工艺的晶体管中的二氧化硅层已经缩小仅有 5 个氧 原子的厚度了。二氧化硅层很难再进一步缩小了,否则产生的漏电流会让晶体管无法正常工 作。因此在 28nm 工艺中,高介电常数(K)的介电材料被引入代替了二氧化硅氧化层(又称 HKMG 技术)。随着设备尺寸的缩小,在较低的技术节点,例如 22nm 的,短沟道效应开始 变得更明显,降低了器件的性能。为了克服这个问题,FinFET 就此横空出世。FinFET 结构 结构提供了改进的电气控制的通道传导,能降低漏电流并克服一些短沟道效应。目前先进制 程都是采用 FinFET 结构。

制程提升,需要更精细的芯片,光刻机性能持续提升。 负责“雕刻”电路图案的核心制造设备是光刻机,它是芯片制造阶段最核心的设备之一,光刻机的精度决定了制程的精度。第四 代深紫外光刻机分为步进扫描投影光刻机和浸没式步进扫描投影光刻机,其中前者能实现最 小 130-65nm 工艺节点芯片的生产,后者能实现最小 45-22nm 工艺节点芯片的生产。通过多 次曝光刻蚀,浸没式步进扫描投影光刻机能实现 22/16/14/10nm 芯片制作。到了 7/5nm 工艺, DUV 光刻机已经较难实现生产,需要更为先进的 EUV 光刻机。EUV 生产难度极大,零部件 高达 10 万多个,全球仅 ASML 一家具备生产能力。目前 EUV 光刻机产量有限而且价格昂 贵,2019 年全年,ASML EUV 销量仅为 26 台,单台 EUV 售价高达 1.2 亿美元。

晶圆代工技术迭代快,利于头部代工厂。 芯片制程进入 90nm 节点以后,技术迭代变快,新的制程 几乎每两到三年就会出现。先进制程不但需要持续的研发投入,也需要持续的巨额资本性支出,而 且新投入的设备折旧很快,以台积电为例,新设备折旧年限为 5 年,5 年以后设备折旧完成,生产 成本会大幅度下降,头部厂商完成折旧以后会迅速降低代工价格,后进入者难以盈利。

2.1摩尔定律延续,技术难度与资本投入显著提升

追寻摩尔定律能让消费者享受更便宜的 力,晶圆代工是推动摩尔定律最重要的环节。 1965 年, 英特尔(Intel)创始人之一戈登·摩尔提出,当价格不变时,集成电路上可容纳的元器件的数目, 约每隔 18-24 个月便会增加一倍,性能也将提升一倍,这也是全球电子产品整体性能不断进化的核 心驱动力,以上定律就是著名的摩尔定律。换而言之,每一美元所能买到的电脑性能,将每隔 18- 24 个月翻一倍以上。推动摩尔定律的核心内容是发展更先进的制程,而晶圆代工是其中最重要的 环节。

摩尔定律仍在延续。 市场上一直有关于摩尔定律失效的顾虑,但是随着 45nm、28nm、10nm 持续 的推出,摩尔定律仍然保持着延续。台积电在 2018 年推出 7nm 先进工艺,2020 年开始量产 5nm, 并持续推进 3nm 的研究,预计 2022 年量产 3nm 工艺。IMEC 更是规划到了 1nm 的节点。此外, 美国国防高级研究计划局进一步提出了先进封装、存算一体、软件定义硬件处理器三个未来发展研 究与发展方向,以此来超越摩尔定律。在现在的时间点上来看,摩尔定律仍然在维持,但进一步提 升推动摩尔定律难度会显著提升。

先进制程资本性投入进一步飙升 。根据 IBS 的统计,先进制程资本性支出会显著提升。以 5nm 节 点为例,其投资成本高达数百亿美金,是 14nm 的两倍,是 28nm 的四倍。为了建设 5nm 产线, 2020 年,台积电计划全年资本性将达到 150-160 亿美元。先进制程不仅需要巨额的建设成本,而 且也提高了设计企业的门槛,根据 IBS 的预测,3nm 设计成本将会高达 5-15 亿美元。

3nm 及以下制程需要采用全新的晶体管工艺。 FinFET 已经历 16nm/14nm 和 10nm/7nm 两个工艺 世代,随着深宽比不断拉高,FinFET 逼近物理极限,为了制造出密度更高的芯片,环绕式栅极晶 体管(GAAFET,Gate-All-Ground FET)成为新的技术选择。不同于 FinFET,GAAFET 的沟道被 栅极四面包围,沟道电流比三面包裹的 FinFET 更加顺畅,能进一步改善对电流的控制,从而优化 栅极长度的微缩。三星、台积电、英特尔均引入 GAA 技术的研究,其中三星已经先一步将 GAA 用 于 3nm 芯片。如果制程到了 2nm 甚至 1nm 时,GAA 结构也许也会失效,需要更为先进的 2 维 、 甚至 3 维立体结构,目前微电子研究中心(Imec)正在开发面向 2nm 的 forksheet FET 结构。

3nm 及以下制程,光刻机也需要升级。 面向 3nm 及更先进的工艺,芯片制造商或将需要一种称为 高数值孔径 EUV(high-NA EUV)的光刻新技术。根据 ASML 年报,公司正在研发的下一代极紫 外光刻机将采用 high-NA 技术,有更高的数值孔径、分辨率和覆盖能力,较当前的 EUV 光刻机将 提高 70%。ASML 预测高数值孔径 EUV 将在 2022 年以后量产。

除上面提到巨额资本与技术难题以外,先进制程对沉积与刻蚀、检测、封装等环节也均有更高的要 求。正是因为面临巨大的资本和技术挑战,目前全球仅有台积电、三星、intel 在进一步追求摩尔定 律,中芯国际在持续追赶,而像联电、格罗方德等晶圆代工厂商已经放弃了 10nm 及以下制程工艺 的研发,全面转向特色工艺的研究与开发。先进制程的进一步推荐节奏将会放缓,为中芯国际追赶 创造了机会。

2.2先进制程占比持续提升,成熟工艺市场不断增长

高性能芯片需求旺盛,先进制程占比有望持续提升。 移动终端产品、高性能计算、 汽车 电子和通信 及物联网应用对算力的要求不断提升,要求更为先进的芯片,同时随着数据处理量的增加,存储芯 片的制程也在不断升级,先进制程的芯片占比有望持续提升。根据 ASML2018 年底的预测,到 2025 年,12 寸晶圆的先进制程占比有望达到 2/3。2019 年中,台积电 16nm 以上和以下制程分别占比 50%,根据公司预计,到 2020 年,16nm 及以下制程有望达到 55%。

CPU、逻辑 IC、存储器等一般采用先进制程(12 英寸),而功率分立器件、MEMS、模拟、CIS、 射频、电源芯片等产品(从 6μm 到 40nm 不等)则更多的采用成熟工艺(8 寸片)。 汽车 、移动 终端及可穿戴设备中超过 70%的芯片是在不大于 8 英寸的晶圆上制作完成。相比 12 寸晶圆产线,8 寸晶圆制造厂具备达到成本效益生产量要求较低的优势,因此 8 寸晶圆和 12 寸晶圆能够实现优 势互补、长期共存。

受益于物联网、 汽车 电子的快速发展,MCU、电源管理 IC、MOSFET、ToF、传感器 IC、射频芯 片等需求持续快速增长。 社会 已经从移动互联网时代进入了物联网时代,移动互联网时代联网设备 主要是以手机为主,联网设备数量级在 40 亿左右,物联网时代,设备联网数量将会成倍增加,高 通预计到 2020 年联网 设备数量有望达到 250 亿以上。飙升的物联网设备需要需要大量的成熟工艺 制程的芯片。以电源管理芯片为例,根据台积电年报数据,公司高压及电源管理晶片出货量从 2014 年的 1800 万片(8 寸)增长到 2019 年的 2900 万片,CAGR 为 10%。根据 IHS 的预测,成熟晶 圆代工市场规模有望从 2020 年的 372 亿美元增长到 2025 年的 415 亿美元。

特色工艺前景依旧广阔,主要代工厂积极布局特色工艺。 巨大的物联网市场前景,吸引了众多 IC 设计公司开发新产品。晶圆代工企业也瞄准了物联网的巨大商机,频频推出新技术,配合设计公司 更快、更好地推出新一代芯片,助力物联网产业高速发展。台积电和三星不仅在先进工艺方面领先布局,在特色工艺方面也深入布局,例如台积电在图像传感器领域、三星在存储芯片领域都深入布 局。联电、格罗方德、中芯国际、华虹半导体等代工厂也全面布局各自的特色工艺,在射频、 汽车 电子、IOT 等领域,形成了各自的特色。

5G 时代终端应用数据量爆炸式提升增加了对半导体芯片的需求,晶圆代工赛道持续繁荣。 随着对 于 5G 通信网络的建设不断推进,不仅带动数据量的爆炸式提升,要求芯片对数据的采集、处理、 存 储 效率更高,而且也催生了诸多 4G 时代难以实现的终端应用,如物联网、车联网等,增加了终 端对芯片的需求范围。对于芯片需求的增长将使得下游的晶圆代工赛道收益,未来市场前景极其广 阔。根据 IHS 预测,晶圆代工市场规模有望从 2020 年的 584 亿美元,增长到 2025 年的 857 亿美 元,CAGR 为 8%。

3.15G 推动手机芯片需求量上涨

5G 手机渗透率快速提升。手机已经进入存量时代,主要以换机为主。2019 年全球智能手机出货量 为 13.7 亿部,2020 年受疫情影响,IDC 等预测手机总体出货量为 12.5 亿台,后续随着疫情的恢 复以及 5G 产业链的成熟,5G 手机有望快速渗透并带动整个手机出货。根据 IDC 等机构预测,5G 手机出货量有望从 2020 年的 1.83 增长到 2024 年的 11.63 亿台,CAGR 为 59%。

5G 手机 SOC、存储和图像传感器全面升级,晶圆代工行业充分受益。 消费者对手机的要求越来越 高,需要更清晰的拍照功能、更好的 游戏 体验、多任务处理等等,因此手机 SOC 性能、存储性能、 图像传感器性能全面提升。目前旗舰机的芯片都已经达到了 7nm 制程,随着台积电下半年 5 nm 产 能的释放,手机 SOC 有望进入 5nm 时代。照片精度的提高,王者荣耀、吃鸡等大型手游和 VLOG 视频等内容的盛行,对手机闪存容量和速度也提出了更高的要求,LPDDR5 在 2020 年初已经正式 亮相小米 10 系列和三星 S20 系列,相较于上一代的 LPDDR4,新的 LPDDR5 标准将其 I/O 速 度从 3200MT/s 提升到 6400MT/s,理论上每秒可以传输 51.2GB 的数据。相机创新是消费者更 换新机的主要动力之一,近些年来相机创新一直在快速迭代,一方面,多摄弥补了单一相机功能不 足的缺点,另一方面,主摄像素提升带给消费者更多的高清瞬间,这两个方向的创新对晶圆及代工 的需求都显著提升。5G 时代,手机芯片晶圆代工市场将会迎来量价齐升。

5G 手机信号频段增加,射频前端芯片市场有望持续快速增长。射频前端担任信号的收发工作,包 括低噪放大器、功率放大器、滤波器、双工器、开关等。相较于 4G 频段,5G 的频段增加了中高 频的 Sub-6 频段,以及未来的更高频的毫米波频段。根据 yole 预测,射频前端市场有望从 2018 年 的 149 亿美元,增长到 2023 年的 313 亿美元,CAGR 为 16%。

3.2云计算前景广阔,服务器有望迎来快速增长

2020 年是国内 5G 大规模落地元年,有望带来更多数据流量需求 。据中国信通院在 2019 年 12 月 份发布的报告,2020 年中国 5G 用户将从去年的 446 万增长到 1 亿人,到 2024 年我国 5G 用户 渗透率将达到 45%,人数将超过 7.7 亿人,全球将达到 12 亿人,5G 用户数的高增长带来流量的 更高增长。

5G 时代来临,云计算产业前景广阔。 进入 5G 时代,IoT 设备数量将快速增加,同时应用的在线 使用需求和访问流量将快速爆发,这将进一步推动云计算产业规模的增长。根据前瞻产业研究院的 报告,2018 年中国云计算产业规模达到了 963 亿元,到 2024 年有望增长到 4445 亿元,CAGR 为 29%,产业前景广阔。

边缘计算是云计算的重要补充,迎来新一轮发展高潮。 根据赛迪顾问的数据,2018 年全球边缘计 算市场规模达到 51.4 亿美元,同比增长率 57.7%,预计未来年均复合增长率将超过 50%。而中国 边缘计算市场规模在 2018 年达到了 77.4 亿元,并且 2018-2021 将保持 61%的年复合增长率,到 2021 年达到 325.3 亿元。

服务器大成长周期确定性强。 服务器短期拐点已现,受益在线办公和在线教育需求旺盛,2020 年 服务器需求有望维持快速增长。长期来看,受益于 5G、云计算、边缘计算强劲需求,服务器销量 有望保持持续高增长。根据 IDC 预测,2024 年全球服务器销量有望达到 1938 万台,19-24 年, CAGR 为 13%。

服务器半导体需求持续有望迎来快速增长,晶圆代工充分受益。 随着服务器数量和性能的提升,服 务器逻辑芯片、存储芯片对晶圆的需求有望快速增长,根据 Sumco 的预测,服务器对 12 寸晶圆 需求有望从 2019 年的 80 万片/月,增长到 2024 年的 158 万片/月,19-24 年 CAGR 为 8%。晶圆 代工市场有望充分受益服务器芯片量价齐升。

3.3三大趋势推动 汽车 半导体价值量提升

传统内燃机主要价值量主要集中在其动力系统。 而随着人们对于 汽车 出行便捷性、信息化的要求逐 渐提高, 汽车 逐步走向电动化、智能化、网联化,这将促使微处理器、存储器、功率器件、传感器、 车载摄像头、雷达等更为广泛的用于 汽车 发动机控制、底盘控制、电池控制、车身控制、导航及车 载 娱乐 系统中, 汽车 半导体产品的用量显著增加。

车用半导体有望迎来加速增长。 根据 IHS 的报告,车用半导体销售额 2019 年为 410 亿美元,13- 19 年 CAGR 为 8%。随着 汽车 加速电动化、智能化、网联化,车用芯片市场规模有望迎来加速, 根据 Gartner 的数据,全球 汽车 半导体市场 2019 年销售规模达 410.13 亿美元,预计 2022 年有望 达到 651 亿美元,占全球半导体市场规模的比例有望达到 12%,并成为半导体下游应用领域中增 速最快的部分。

自动驾驶芯片要求高,有望进一步拉动先进制程需求。 自动驾驶是通过雷达、摄像头等将采集车辆 周边的信息,然后通过自动驾驶芯片处理数据并给出反馈,以此降低交通事故的发生率、提高城市 中的运载效率并降低驾驶员的驾驶强度。自动驾驶要求多传感器之间能够及时、高效地传递信息, 并同时完成路线规划和决策,因此需要完成大量的数据运算和处理工作。随着自动驾驶级别的上升, 对于芯片算力的要求也越高,产生的半导体需求和价值量也随之水涨船高。英伟达自动驾驶芯片随 着自动驾驶级别的提升,芯片制程也显著提升,最早 Drive PX 采用的是 20nm 工艺,而最新 2019 年发布的 Drive AGX Orin 将会采用三星 8nm 工艺。根据英飞凌的预测,自动驾驶给 汽车 所需要的 半导体价值带来相当可观的增量,一辆车如果实现 Level2 自动驾驶,半导体价值增量就将达到 160 美元,若自动驾驶级别达到 level4&5,增量将会达到 970 美元。

3.4IoT 快速增长,芯片类型多

随着行业标准完善、技术不断进步、政策的扶持,全球物联网市场有望迎来爆发性增长。GSMA 预 测,中国 IOT 设备联网数将会从 2019 年的 36 亿台, 增到 到 2025 年的 80 亿台,19-25 年 CAGR 为 17.3%。根据全球第二大市场研究机构 MarketsandMarkets 的报告,2018 年全球 IoT 市场规模 为 795 亿美元,预计到 2023 年将增长到 2196 亿美元,18-23 年 CAGR 为 22.5%。

物联网的发展需要大量芯片支撑,半导体市场规模有望迎来进一步增长 。物联网感知层的核心部件 是传感器系统,产品需要从现实世界中采集图像、温度、声音等多种信息,以实现对于所处场景的 智能分析。感知需要向设备中植入大量的 MEMS 芯片,例如麦克风、陀螺仪、加速度计等;设备 互通互联需要大量的通信芯片,包括蓝牙、WIFI、蜂窝网等;物联网时代终端数量和数据传输通道 数量大幅增加,安全性成为最重要的需求之一,为了避免产品受到恶意攻击,需要各种类型的安全 芯片作支持;同时,身份识别能够保障信息不被盗用,催生了对于虹膜识别和指纹识别芯片的需求; 作为物联网终端的总控制点,MCU 芯片更是至关重要,根据 IC Insights 的预测,2018 年 MCU 市 场规模增长 11%,预计未来四年内 CAGR 达 7.2%,到 2022 年将超过 240 亿美元。

4.1 国内 IC 设计企业快速增长,代工需求进一步放量

国内集成电路需求旺盛,有望持续维持快速增长。 国内集成电路市场需求旺盛,从 2013 年的 820 亿美元快速增长到 2018 年的 1550 亿美元,CAGR 为 13.6%,IC insight 预测,到 2023 年,中国 集成电路市场需求有望达到 2290 亿美元,CAGR 为 8%。但是同时,国内集成电路自给率也严重 不足,2018 年仅为 15%,IC insight 在 2019 年预测,到 2023 年,国内集成电路自给率为 20%。

需求驱动,国内 IC 设计快速成长。 在市场巨大的需求驱动下,国内 IC 设计企业数量快速增加,尤 其近几年,在国内政策的鼓励下,以及中美贸易摩擦大的背景下,IC 设计企业数量加速增加,2019 年底,国内 IC 设计企业数量已经达到了 1780 家,2010-2019 年,CAGR 为 13%。根据中芯国际 的数据,国内 IC 设计公司营收 2020 年有望达到 480 亿美元,2011-2020 年 CAGR 为 24%,远 高于同期国际 4%的复合增长率。

国内已逐步形成头部 IC 设计企业。 根据中国半导体行业协会的统计,2019 年营收前十的入围门槛 从 30 亿元大幅上升到 48 亿元,这十大企业的增速也同样十分惊人,达到 47%。国内 IC 企业逐步 做大做强,部分领域已经形成了一些头部企业:手机 SoC 芯片领域有华为海思、中兴微电子深度 布局;图像传感领域韦尔豪威大放异彩;汇顶 科技 于 2019 年引爆了光学屏下指纹市场;卓胜微、 澜起 科技 分别在射频开关和内存接口领域取得全球领先。IC 设计企业快速成长有望保持对晶圆代 工的强劲需求。

晶圆代工自给率不足。 中国是全球最大的半导体需求市场,根据中芯国际的预测,2020 年中国对 半导体产品的需求为 2130 亿美元,占全球总市场份额为 49%,但是与之相比的是晶圆代工市场份 额严重不足,根据拓墣研究的数据,2020Q2,中芯国际和华虹半导体份额加起来才 6%,晶圆代 工自给率严重不足,尤其考虑到中国 IC 设计企业数量快速增长,未来的需求有望持续增长,而且, 美国对华为等企业的禁令,更是让我们意识到了提升本土晶圆代工技术和产能的重要性。

4.2政策与融资支持,中国晶圆代工企业迎来良机(略)

晶圆代工需求不断增长,但国内自给严重不足,受益需求与国内政策双重驱动,国内晶圆代工迎来 良机。建议关注:国内晶圆代工龙头,突破先进制程瓶颈的中芯国际-U、特色化晶 圆代工与功率半导体 IDM 双翼发展的华润微华润微、坚持特色工艺,盈利能力强的华虹半导体华虹半导体。

……

(报告观点属于原作者,仅供参考。作者:东方证券,蒯剑、马天翼)

如需完整报告请登录【未来智库】www.vzkoo.com。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/dianzi/8444059.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-16
下一篇 2023-04-16

发表评论

登录后才能评论

评论列表(0条)

保存