如何Dump波形文件并显示波形

如何Dump波形文件并显示波形,第1张

常用的波形文件有如下三种,

1、trn文件,Cadence simvision支持,通过巧芦如下方式产生

$shm_open(“./wave”)

//产生你所需要dump

波形的文件夹

$shm_probe(bench_top,”AS”)

//bench_top为举例,即你所需要dump的层

$shm_close

2、fsdb文件余知,debussy/verdi支持,通过如下方式产生

$fsdbDumpfile(“./wave/top.fsdb”)

$fsdbDumpvars(5,bench_top)

//从bench_top开始,dump 5层;

$fsdbDumpoff

3、vcd文件,该文件通用性更广,可以转换为任意格式,可惜文件太大,产生方式如下,

$dumpfile(“./wave/top.vcd”)

$dumpvars(5,bench_top)

$dumpoff

当然也可以同时产生,或者通过nc

option指定,

譬如在option中增加+TRN_DUMP/+VCD_DUMP/+FSDB_DUMP等

在.v文件中去解析这样的strings

initial begin

start_dump =10

stop_dump =3000000

finish_time=3000100

#0

begin

if($test$plusargs(“VCD_DUMP”))

$dumpfile(“./wave/top.vcd”)

if($test$plusargs(“TRN_DUMP”))

$shm_open(“./wave”)

if($test$plusargs(“FSDB_DUMP”))

$fsdbDumpfile(“./wave/top.fsdb”)

end

#(start_dump)

begin

if($test$plusargs(“竖宽消VCD_DUMP”))

$dumpvars(5,bench_top)

if($test$plusargs(“TRN_DUMP”))

$shm_probe(bench_top,”AS”)

if($test$plusargs(“FSDB_DUMP”))

$fsdbDumpvars(5,bench_top)

end

#(stop_dump-start_dump)

begin

if($test$plusargs(“VCD_DUMP”))

$dumpoff

if($test$plusargs(“TRN_DUMP”))

$shm_close

if($test$plusargs(“FSDB_DUMP”))

$fsdbDumpoff

end

#(finish_time-stop_dump)

$stop

$finish

end

各种波形文件的打开方式

TRN

simvision ./wave/wave.trn

-input

../../signal_list/simvision.svcf

FSDB

debussy -f $FileList.f

-autoalias -ssf ./wave/top.fsdb -top

bench_top

-sswr

../../signal_list/top.rc

VCD

该文件需要被转换为trn或fsdb文件,然后打开;

转fsdb文件:

vfast ./wave/top.vcd -o ./wave/top.fsdb

&&

debussy -f $FileList.f

-autoalias -ssf ./wave/top.fsdb -top

bench_top

-sswr

../../signal_list/top.rc

转trn文件:

simvisdbutil -CVTMVL9 -OVERWRITE

-LOGFILE wolf.log -OUTPUT wave.trn top.vcd

&&

simvision ./wave/wave.trn

-input

../../signal_list/simvision.svcf

trn是日志文件。

日志文件:在数据库中用事务日志文件记录数好烂据的修改 *** 作,其中的每条日志记录或者记录所执行的逻辑 *** 作,或者记录已修改数据的前像和后像。前像是 *** 作执行前的数据轿袜前复本;闭清后像是 *** 作执行后的数据复本。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/tougao/12120205.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-21
下一篇 2023-05-21

发表评论

登录后才能评论

评论列表(0条)

保存