C++:system()函数的头文件是什么?

C++:system()函数的头文件是什么?,第1张

是#include<stdlib.h>

system基本信息

1.Windows *** 作系统下system 函数详解函数名: system

2.windows *** 作系统下system () 函数详解(主要是在C语言中的应用) 函数名: system

功 能: 发出一个DOS命令

用 法: int system(char *command)

system函数已经被收录在标准c库中,可以直接调用

程序例:

#include <stdlib.h>

#include <stdio.h>

int main(void)

{

printf("About to spawn and run a DOS command\n")

system("dir")

return 0

}

3.又让肆如:system("pause")可以实现冻结屏幕,便于观察程序的执行结果渣让system("CLS")可以实现清屏 *** 作。而调用color函数可以改变控制台的前景色和背景,具坦梁轿体参数在下面说明。

一、编译System库

下载SystemC library source code

下载SystemC library,目前的版本是systemc 2.3.1

以SystemC 2.3.1为例,下载后的文件名喂systemc-2.3.1.tgz,解压到工作目录下:...(个人的工作目录路径)systemcsystemc-2.3.1

打开....systemcsystemc-2.3.1msvc80SystemC目录下的SystemC.sln

systemC的软件开发环境

VS2012 "生成(Build英文)"-->“生成解决方案(Build Solution)”,生成SystemC.lib文件。

systemC的软件开发环境篇

如果编译成功的话(忽略那些Warning)。

在..systemcsystemc-2.3.1msvc80SystemCdebug目录下就生成了SystemC.lib

PS:编译systemc-2.3.0会遇到以下问题:

systemC的软件开发环境篇

VS2012在编译时会遇到这样的问题:fatal error C1189: #error : The C++ Standard Library forbids macroizing keywords. Enable warning C4005 to find the forbidden macro.导致生成库不成功,

解决方案是:工程项目SystemC处右键Properties ->configuration Properties ->C/C++ ->Preprocessor ->Preprocessor Definitions 添加_XKEYCHECK_H。

systemC的软件开发环境篇

systemC的软件开发环境篇

然后就可以编译通过了

二、新建SystemC工程,并配置项目属性

有了编译的SystemC.lib库,我们就可以在vs2012配置我们的systemc工程属性了

新建项目,win32控制台应用程序,控制台应用程序设裂瞎置时选择“空项目”。

添加伏源陵.cpp源文件与.h头文件。

以下是一个简单地hello systemC的程序 功能是打印三行语句

Hello,SystemC!

by Eagleson

by Eagleson2016-01-12

源代码如下

//main.c

#include

#include "hello.h"

int sc_main(int, char**){

hello h("hello")

system("pause")

return 0

}

//hello.h

#ifndef _HELLO_H

#define _HELLO_H

#include "systemc.h"

#include

#include

using namespace std

void print1(string &name){

name = name + "2016-01-12"

cout<

}

SC_MODULE(hello)

{

SC_CTOR(hello)

{

cout<<"Hello,SystemC!"<

string str

str="by Eagleson"

cout<

print1(str)

}

}

#endif

添加源文件后进行项目属性设置。

C/C++→常规→附加包含目录 (..systemc-2.3.1src)

systemC的软件开发环境篇

C/C++ →语言→启用运行时类型信息→是

systemC的软件开发环境篇

C/C++→代码生成→运行库→多线程调试(/MTd)

systemC的软件开发环境篇

C/C++→ 命令行→其它选项 加上/vmg

systemC的软件开发环境篇

Linker →常规→附加目录库 (..systemc-2.3.1msvc80SystemCDebug)

systemC的软件开发环境篇

Linker →输入→附加依赖库(SystemC.lib)

systemC的软件开发环境篇

C/C++→所有选项→警告等级 等级1(/W1)

systemC的软件开发环境篇

上述属性设置在每次建立SystemC工程时都需要设置。若想免去每次都设置的麻烦可通过以缺戚下方法。

View-->Property Manager 在左侧会有属性窗口打开。展开树形找到“Microsoft.Cpp.Win32.user”,双击之后就可以设置所有项目的属性了。

systemC的软件开发环境篇

三、编译、调试程序

systemC的软件开发环境篇

与我们预期的结果是一致的。

SystemC基于VS2012的软件平台搭建到这里就完成了。有了这个平台环境,后面的语法学习和练习就不只是纸上谈兵了。

1、./configure 2、make 3、 make installSystemC也不例外。将下载的源码解压到文件夹如:/home/user/DirA;user代表你的用户名,DirA表示你创建的某个目录;

1 cd /home/user/DirA

2

3 ./configure -prefix=INSTALL_DIR //用-prefix=指定要安装到的目录路径,此路径必须已经存在;

4

5 make

6

7 make install

基本就可圆余贺以了,我是在虚拟机下的小红帽,我的安装遇到一些小的错误,主要是example没有安装进去,docs也没有,但类库都已经安装好了;

执行橘派 make check 检查安装是否成功,make check 成功就没问题了,我的是成功状态。

最后的安装目录如下:

2、VC6++/VS2010 SystemC使用

前面说过,SystemC实际上是一个C++类库,因此,VC或者VS下使用SystemC就是外部库的使用。

库编译:下载解压后的systemc-version下有msvc60等目录,下面有工程文件,直接可以打开编译即可得到SystemC的库。

新建工程;

库添加:

1)项目右键-属性-配置属性-连接器-常规:添加附件库目录

2)项目右键-属性-配置属性-连接器-输入:添加库

3)项目右键-属性-配毁乱置属性-C/C++-常规:添加头文件所在目录

编写sc_hello.cpp代码如下并添加到工程:

1 // sc_helloworld.cpp : 定义控制台应用程序的入口点。

2 //

3

4 #include "systemc.h"

5 SC_MODULE(hello)

6 {

7 sc_in<bool>clock

8 void run()

9 {

10 cout <<"@"<<sc_simulation_time<<" hello world" <<endl

11 }

12

13 SC_CTOR(hello)

14 {

15 SC_METHOD(run)

16 sensitive<<clock.pos()

17 }

18 }

19

20 int sc_main(int argc, char* argv[])

21 {

22 sc_clock clk("clock",20,SC_NS)

23 hello h("hello")

24 h.clock(clk)

25 sc_start(200,SC_NS)

26 system("pause")

27 return 0

28 }


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/tougao/12173408.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-21
下一篇 2023-05-21

发表评论

登录后才能评论

评论列表(0条)

保存