xcelium怎样生成fsdb文件

xcelium怎样生成fsdb文件,第1张

直接打开这个保存下来的波誉宏形。

fsdb(Fast Signal DataBase) 是Spring Soft (Novas)公司 Debussy / Verdi 支持的波形文件,一般较小,使用较为广泛,其余仿真工具如ncsim,modlesim等等可以通过加载Verdi 的PLI (一般位于安装目录下的share/pli 目录下) 而直接dump fsdb文件。fsdb文件是verdi使用一种专用的数据格式,类似于VCD,但是它是只提出了仿真过程中信号的有用信息,除去了VCD中信息冗余,就像对VCD数据进行了一次huffman编码。因此fsdb数据量小,而且会提高仿真速度。我们知慧厅道庆碧册VCD文件使用verilog内置的系统函数来实现的,fsdb是通过verilog的PLI接口来实现的。$fsdbDumpfile,$fsdbDumpvars等

modelsim仿真的时扒镇候会自动生成一个wvf文件啊,你可以在你的工程目录下查看一下,如果茄此巧要生成vcd文件颤键的话,可以参考下面命令: initial begin $dumpfile ("xxx.vcd")$dumpvars(0,xxx)$dumpon$dumpoffend


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/tougao/8157320.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-13
下一篇 2023-04-13

发表评论

登录后才能评论

评论列表(0条)

保存