在官网下载vivado并安装,安装完成后其文件夹太大,请问可以删除什么

在官网下载vivado并安装,安装完成后其文件夹太大,请问可以删除什么,第1张

安装完成后其文件夹太大,可以删除。安装完了以后安装文件可以删除的。因为软件的原文件,只起安装作用,对于安装后的使用是不起作用的,所以可以删除。

运行安装包可以将此软件的所有文件释放到硬盘上,进行完成修改注册表、修改系统设置、创建快捷方式等工作。

扩展资料:

安装文件删除的注意事项如下:

1、如果安装文件程序出现问题,就可以重新打开安装包进行安装所以安装文件需要妥善保管处理。

2、软件的原文件,在重新做系统后的情况下,需要重新安装,所以在删除安装文件时需要做好文件的备份。

这也是一个基于AMBAAXI4互联规范、IP-XACTIP封装元数据、工具命令语言(TCL)、Synopsys系统约束(SDC)以及其它有助于根据客户需求量身定制设计流程并符合业界标准的开放式环境。赛灵思构建的的Vivado工具把各类可编程技术结合在一起,能够扩展多达1亿个等效ASIC门的设计。

右击要打开的文件选属性,打开方式中的更改,在程序中找,如果没有你要找的程序,寻浏览”在里面寻找你需要的程序,选择后按确定使用就可以了,使用一次之后,系统就会记下了,以后该类型的文件的打开方式中就会多出一个你选择的程序。

自从去年10月Xilinx发布ISE14.7之后,ISE套件便暂时没有了更新计划,相当于进入了软件生命中的“中年”;而当初在2012.x版本还作为ISE套件中的一个组件的Vivado,此时已经如早上8、9点钟的太阳一样冉冉升起:因为随着FPGA/SOC制造工艺、硬件单元规模和设计方法的不断改进,传统的基于ISE的设计方法已经逐渐不能满足我们的要求了。所以针对新的Artix-7/Kintex-7/Virtex-7芯片,Xilinx都建议我们使用全新设计的Vivado套件来进行开发(使用Spartan-6的筒子可以在新设计中考虑向Artix-7过渡了)。此外,因为ISE套件已经没有升级计划表,所以对新的 *** 作系统也无法支持了,例如在Win8/8.1上面,ISE14.7几乎无法完美运行,而从Vivado2014.1版本就开始全面支持了。

直观的来看,我理解的Vivado套件,相当于把ISE、ISim、XPS、PlanAhead、ChipScope和iMPACT等多个独立的套件集合在一个Vivado设计环境中,在这个集合的设计流程下,不同的设计阶段我们采用不同的工具来完成,此时Vivado可以自动变化菜单、工具栏,可以显著提高效率:因为不需要在多个软件间来回切换、调用,白白浪费大量的时间。基于Vivado IP集成器(IPI),则把我们对硬件的配置更好地集成到我们的设计中,既极大地提高了对IP的使用和管理,也帮助我们减小了软件和硬件(例如ZYNQ器件的PS)之间的隔阂。Vivado HLS则可以把现有的C代码,在一些特定的规范下直接转换为可综合的逻辑,这也将极大地提高我们实现和移植现有算法的速度。

因为Vivado套件较为复杂,所以先用一个对比测试,来检验一下它们之间的性能差别。采用的测试环境是:

*** 作系统:win7 sp1x64

CPU:I7-4770k,开启超线程,全部超频至4.3GHz

ISE: 14.7

Vivado:2014.1

使用的芯片:ZYNQ系列中的xc7z020-clg400-2(设计全部在PL中实现)

待测试程序:一个用来做实时仿真的模型(算下来有140424行Verilog代码)。为了减小硬盘的延迟影响, *** 作系统和软件都安装在SSD上面,而把工程文件放在RAMdisk上面(因为综合、实现的过程都需要大量的小文件读取 *** 作)。

运行的测试:输入正确的工程,但是清理所有工程文件,这样就可以从0开始完成所有的综合、翻译、映射、布局布线和升级bit流文件的所有 *** 作;使用的策略则全部用默认策略。

首先,在ISE上运行,测试开始时间是7:33:10,生成.bit文件的时间是7:37:01,共花费了231秒。

然后,在Vivado上运行。为了方便测试,在Vivado套件里直接导入ISE的工程,源文件都可以正常导入,但是约束文件需要重新配置,因为ISE使用的ucf格式,而Vivado则升级为更先进的xdc格式,需要全部重写约束文件。不过这也不是特别困难的事情,例如管脚约束的转换就比较容易:

例如,ucf为:

NET "gateway_out1[0]" LOC = Y12

NET "gateway_out1[0]" IOSTANDARD = LVCMOS18

xdc则为:

set_property PACKAGE_PIN Y12 [get_ports {gateway_out1[0]}]

set_property IOSTANDARD LVCMOS18 [get_ports {gateway_out1[0]}]

为了快速转换,用查找/替换可以较快的完成其中的一部分转换。

然后在Vivado中点击reset runs,如图1所示,这样会清除所有潜在的已经生成的结果(清除综合的结果时可以选择自动清除实现的结果)。

图1 reset runs

为了充分发挥Vivado套件的潜力,在tcl console里输入下面的脚本:

set_param general.maxThreads 8

这样就可以充分发挥最大的CPU潜力了(例如DRC检查可以使用全部的线程进行并行 *** 作)。然后运行产生比特流的 *** 作,开始时间是8:15:20,生成.bit文件的时间是8:17:12,共花费了112秒。

对比ISE的231秒,可以看出Vivado使用的时间只有ISE的48.5%。俗话说,“时间就是金钱”,“效率就是生命”,Vivado只用了不到ISE一半的时间就完成了这个复杂工程的全部实现过程,数据非常有说服力。当然Vivado使用的内存貌似比ISE多了几百MB,但是对于现在配置中等的机器都可以达到8GB内存的情况下,这点内存的差距还是可以忽略的。(好马配好鞍,电脑的这点投资和高端的芯片带来的性能提升和time-to-market减小相比,可以说是微不足道的了)。

图2 ISE完成时间

图3 Vivado完成时间

图4 ISE资源占用

图5 Vivado资源占用

对比使用的资源:默认策略下,二者使用的Slice寄存器类似;Vivado使用的LUT稍多,但是没有使用DSP48E1单元,而ISE使用了12个,相当于Vivado用一部分LUT完成了DSP单元的功能,这与综合/实现的策略有关。可以认为在默认策略下,Vivado和ISE产生结果的资源利用率打了个平手,还可以通过调整综合/实现的策略达到资源利用率的优化。当然,Vivado相对ISE有个显著的优势,就是Vivado可以一次运行多种不同的策略,从而使得我们一次性获取各种策略的结果,这样的“半自动化”的优势是ISE完全不具备的。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/11823817.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-19
下一篇 2023-05-19

发表评论

登录后才能评论

评论列表(0条)

保存