vivado中debug怎么调试

vivado中debug怎么调试,第1张

Vivado Logic Analyzer的使用 chipscope中,通常有两种方法设置需要捕获的信号。 1.添加cdc文件,然后在网表中寻找并添加信号 2.添加ICON、ILA和VIO的IP Core 第一种方法,代码的修改量小,适当的保留设计的层级和网线名,图形化界面便于找到 需

使用vivado isim仿真的方法和过程如下:

1) 测试平台建立;

a) 在工程管理区点击鼠标右键,d出菜单选择New Source,d出界面; b) 输入文件名,选择Verilog Test Fixture,打钩add to project,单击NEXT;

c) 选择要仿真的文件,点击NEXT;

d) 点击“FINISH”,就生成一个Verilog测试模块。

ISE能自动生成测试平台的完整构架,包括所需信号、端口声明以及模块调用的实现。所需要完成的工作就是initial….end模块中的“//Add stimulus here”后面添加测试向量生成代码。

这里给出示例测试代码,将其添加于//Add stimulus here处

#100

SW = 7

#100

SW = 11

#100

SW = 13

#100

SW = 14

2) 测试平台建立后,在工程管理区将状态设置为“Simulation”;选择要仿真的文件名,

过程管理区就会显示“Isim simlator”;

3) 下拉“Isim simlator”,选择“Simulate Behavioral Model”,单击鼠标右键,现在“Process Properties”可修改仿真远行时间等。

4) 修改后,直接双击“Isim simlator”中的“Simulate Behavioral Model”进行仿真。

检查仿真结果是否达到预期设计目标。

Vivado设计套件,是FPGA厂商赛灵思公司2012年发布的集成设计环境。包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。集成的设计环境——Vivado设计套件包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。

第一,在对应厂家的编译工具软件新建一个工程。

第二,将你的 VHDL文件加入工程中去。

第三,添加约束文件。

第四,编译。

第五,将编译文件通过JTAG接口下载到FPGA中。

如果一切OK,那到此为止。

如果ADC数据不正确。则:

第六,代码里面增加信号分析文件。

第七,重新编译加载。

第八,通过厂家分析工具,查看各信号是否正确。

如果找到问题,则OK。

如果没有找到问题,则抓取更多信号,重复第六,第七,第八,直到问题解决


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/11868319.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-19
下一篇 2023-05-19

发表评论

登录后才能评论

评论列表(0条)

保存