VHDL中的buffer是什么意思,在什么情况下用它定义呢

VHDL中的buffer是什么意思,在什么情况下用它定义呢,第1张

buffer和out都是用来表示模块的输出的,如果模块内部会load这个输出,就要声明成buffer,否则声名成 out就可以了。一般情况下的buffer可以代替out,但out不能代替buffer。

在你的程序里 我没看到你再次调用DET_T_OUT的值去赋给其他寄存器,如果DET_T_OUT :out std_logic_vector (31:0)为OUT的定义的话,那你还想再次读回DET_T_OUT这个值,是会报错的,正如你给的系统提示,所以建议你在定义一个寄存器,例如singal : data_tmp logic_vector(31 downto 0)当你用fre_sub给DET_T_OUT赋值的时候,你再加上data_tmp<=fre_sub;这样当你想用到DET_T_OUT的值时,读取data_tmp就好了呀。其实直接用fre_sub就可以打到你的目的了,不过得注意时序

回答如下,不知道能不能帮助你!

移存器的VHDL程序如下:

Library ieee

use ieee.std_logic_1164.all

use ieee.std_logic_unsigned.all--以上是定义集合包,是VHDL里面的包

entity yicunqi is--这是定义实体,就是定义器件的输入输出管角,名称为yicunqi

port(di ,clk :in std_logic --2个输入

q6,q5,q4,q3,q2,q1,q0:out_std_logic --7个逻辑输出

)

end yicunqi

architecture a of yicunqi is--定义结构体,名称为a,属于yicunqi

signal tmp : std_logic_vector(6 downto 0) 定义一个信号变量组,一共7个

begin

process(clk)--clk是触发程序的条件

begin

if (clk'event and clk='1') then --如果CLK有变化且是上升沿触发

tmp(6)<=di--首先赋值

for i in 1 to 6 loop

tmp(6-i)<=tmp(7-i)--循环赋值,将后一个值给前一个,这样就实现移位

end loop

end if

end process

q6<=not tmp(6)--将值取反付给Q6

q5<=tmp(5)

q4<=not tmp(4)

q3<=not tmp(3)

q2<=tmp(2)

q1<=tmp(1)

q0<=tmp(0)

end a

译码器:当七位移位寄存器输出的是“1111111”时,译码器输出就是“111”;有一位错码输出即七位输出中有一个是“0”的时候,译码器输出就是“110”,其它情况输出就为“000”。

译码器的VHDL源程序为:

library ieee

use ieee.std_logic_1164.all

use ieee.std_logic_unsigned.all

entity yimaqi is

port(a,b,c,d,e,f,g:in std_logic

selt :out std_logic_vector(2 downto 0))--输出是一个3位的

end yimaqi

architecture bh of yimaqi is

signal sel: std_logic_vector(6 downto 0)

begin

sel<=a&b&c&d&e&f&g--将abcdefg按位组成一个7位数组形式

process(sel)

begin

case sel is--case语句应该懂吧,C语言有的饿

when"0111111"=>selt<="110"--当sel满足when后面的条件时就把100赋值给selt,后面同

when"1011111"=>selt<="110"

when"1101111"=>selt<="110"

when"1110111"=>selt<="110"

when"1111011"=>selt<="110"

when"1111101"=>selt<="110"

when"1111110"=>selt<="110"

when"1111111"=>selt<="111"

when others=>selt<="000"

end case

end process

end bh

判决器的功能相当于一个比较器。

当巴克码识别器的输出大于等于自动门限的输出时,就输出一个“1”脉冲,否则就输出“0”脉冲。

判决器的VHDL源程序为:

library ieee

use ieee.std_logic_1164.all

use ieee.std_logic_unsigned.all

entity panjueqi is

port(a : in std_logic_vector(2 downto 0)

b :in std_logic_vector(2 downto 0)

c :buffer std_logic --定义的是数据buffer

)

end panjueqi

architecture bh of panjue is

begin

c<='1' when a>=b else '0'--当a>=b时c<='1',其他c<='0'

end bh


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/12016424.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-20
下一篇 2023-05-20

发表评论

登录后才能评论

评论列表(0条)

保存