fpga verilog的按键消抖问题

fpga verilog的按键消抖问题,第1张

module sw_debounce(

clk,rst_n,

sw1_n,sw2_n,sw3_n,

led_d1,led_d2,led_d3

)

input clk //主时钟信号,50MHz

input rst_n //复位信号,低有效

input sw1_n,sw2_n,sw3_n //三个独立按键,低表示按下

output led_d1,led_d2,led_d3 //发光二极管,分别由按键控制

//---------------------------------------------------------------------------

reg[2:0] key_rst

always @(posedge clk or negedge rst_n)

if (!rst_n) key_rst <= 3'b111

else key_rst <= {sw3_n,sw2_n,sw1_n}

reg[2:0] key_rst_r //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clk or negedge rst_n )

if (!rst_n) key_rst_r <= 3'b111

else key_rst_r <= key_rst

//当寄存器key_rst由1变为0时,led_an的值变为高,维持一个时钟周期

wire[2:0] key_an = key_rst_r &( ~key_rst)

//---------------------------------------------------------------------------

reg[19:0] cnt //计数寄存器

always @ (posedge clk or negedge rst_n)

if (!rst_n) cnt <= 20'd0 //异步复位

else if(key_an) cnt <=20'd0

else cnt <= cnt + 1'b1

reg[2:0] low_sw

always @(posedge clk or negedge rst_n)

if (!rst_n) low_sw <= 3'b111

else if (cnt == 20'hfffff) //满20ms,将按键值锁存到寄存器low_sw中 cnt == 20'hfffff

low_sw <= {sw3_n,sw2_n,sw1_n}

//---------------------------------------------------------------------------

reg [2:0] low_sw_r //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clk or negedge rst_n )

if (!rst_n) low_sw_r <= 3'b111

else low_sw_r <= low_sw

//当寄存器low_sw由1变为0时,led_ctrl的值变为高,维持一个时钟周期

wire[2:0] led_ctrl = low_sw_r[2:0] &( ~low_sw[2:0])

reg d1

reg d2

reg d3

always @ (posedge clk or negedge rst_n)

if (!rst_n) begin

d1 <= 1'b0

d2 <= 1'b0

d3 <= 1'b0

end

else begin //某个按键值变化时,LED将做亮灭翻转

if ( led_ctrl[0] ) d1 <= ~d1

if ( led_ctrl[1] ) d2 <= ~d2

if ( led_ctrl[2] ) d3 <= ~d3

end

assign led_d3 = d1 ? 1'b1 : 1'b0 //LED翻转输出

assign led_d2 = d2 ? 1'b1 : 1'b0

assign led_d1 = d3 ? 1'b1 : 1'b0

endmodule

我知道的已有3种:

1:设计一个20ms的循环定时器,20ms扫描一次,key_reg[1:0]用于扫描纪录并用来判断下降沿。

2:参见特权同学的消抖方法。

3:自己刚学时写的:在系统时钟上升沿,用if语句对key低电平(有效电平)判断,低的话cnt +1,加到20ms的值时,就让20ms的值付给cnt,只要按键一直按着,cnt就是20ms的值,else if判断cnt是否等于20ms值,若果是,则设置key_flag位有效,else 语句key_flag无效。这样可保证一次按键只有一个系统时钟的有效信号。

上面就是一个简单的去抖动程序。时钟的频率和去除抖动的时间自己根据产品实际确定。程序的思路简而言之,如果输入电平改变,就开始计数器计数,只有到达一定时间才认为是真正的变化,这时候输出才随之变化;否则就认为是抖动,输出保持不变。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/12029744.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-20
下一篇 2023-05-20

发表评论

登录后才能评论

评论列表(0条)

保存