试编写一个实现3输入与非门的verilog源程序

试编写一个实现3输入与非门的verilog源程序,第1张

module gate3(

input a,

input b,

input c,

output y

)

assign y=~(a&b&c)

endmodule

ENTITY nand3 IS

PORT (in1,in2,in3: IN bity: OUT bit)

END nand3

ARCHITECTURE rtl OF nand3 IS

BEGIN

y <= NOT (in1 AND in2 AND in3)

END rtl


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/12311822.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-24
下一篇 2023-05-24

发表评论

登录后才能评论

评论列表(0条)

保存