使用JK触发器设计计数器步骤是什么?

使用JK触发器设计计数器步骤是什么?,第1张

使用JK触发器设计计数器步骤如下(弯简简下咐悔文以四进制计数器为例):

1、列出真值表

2、根据真值表获得表达式

3、根据表达式获得逻辑电路图

扩展资料:

JK触发器是数字电路触发器中的一种基本电路单元。JK触埋裤发器具有置0、置1、保持和翻转功能。

工作原理:

由上面的电路可得到S=JQ,R=KQ。代入主从RS触发器的特征方程得到:

J=1,K=0时,Qn+1=1;

J=0,K=1时,Qn+1=0;

J=K=0时,Qn+1=Qn;

J=K=1时,Qn+1=~Qn;

用JK触发器设计一个三进制计数器戚和,计数为00,01,10三个状态的循环,所以需要用到两个JK触发器。

先将2个JK触发器接成同步4进制加法计数器,再改成3进制加法器。当计数为3时,输出状态为11,利用11这个状态产生一个复位信号,使两个触发器复位回0,就不会出现计数的3了,最大数是2,即为要求的3进制计数器了。逻辑图(也即仿真图)如下,图中JK触发器是74LS112。

扩展资料:

JK触发器是数字电路触发器中的一种基本电路单元。JK触发器具有置0、置1、保持和翻转功能,在各类集成触发器中,JK触发器的功能最为齐全。在实际应用中,它不仅有很强的通用性,而且能灵活地转雀渗换其他类型的触发器。由JK触发器可以构成D触发器和T触发器。

JK触发器和触发器中最基本的RS触发器结构相似,其区别在于,RS触发器不允许R与S同时为顷仔脊1,而JK触发器允许J与K同时为1。当J与K同时变为1的同时,输出的值状态会反转。对应功能表如下图所示:

由功能表可知,JK触发器主要功能为:置位、复位、保持(记忆)和计数。

参考资料来源:百度百科——JK触发器

用VHDL写的

library ieee

use ieee.std_logic_1164.all

entity jk is

port(j,k,clk: in std_logic

q,nq: buffer std_logic)

end

architecture behave of jk is

signal q_s,nq_s:std_logic

begin

process(j,k,clk)

begin

if(clk'event and clk='1'桥衡)then

if(j='0')and(k='1')then

q_s<='0'

nq_s<='1'

elsif (j='1')and(k='0')then

q_s<液戚='1'

nq_s<='0'

elsif(j='1')and(k='1')then

q_s<=not q

nq_s<敏埋做=not nq

end if

end if

q<=q_s

nq<=nq_s

end process

end


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/12532824.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-26
下一篇 2023-05-26

发表评论

登录后才能评论

评论列表(0条)

保存