fpga实现tdc,怎么实现啊

fpga实现tdc,怎么实现啊,第1张

1.首先在FPGA里面实现TDC有两个基本的工作,即选择合适的FPGA和选取合适的算法。

就FPGA来讲,我经验也不丰富,只是知道Xilinx公司的Vertex系列FPGA能够满足做高精度TDC的要求。其中专用进位链的单元延时在40ps左右,可用作延时单元。芹拆

2.TDC的算法的话,最简单的就是延迟线内插法,就是以单元延时作为时间的最小度量单位的方法。

3.选取了这两个方面之后,就可以通过HDL(硬件描述语言,如VerilogHDL或者VHDL)来描困首渣述实现简单的TDC模块了。

4.具体的细节问题可能比较多一汪悄些,但是大概思路就是这样。

单用VERILOG?不用约束?那么使用裂迟兆延迟线(Delay-Line)架构的是没肆租有可能了(ASIC还是有可能的,CPLD或FPGA就别想了),唯一可旦含行的是使用环型振荡结构的(RING-OSC),自己找论文吧,有台湾人做过


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/12536385.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-26
下一篇 2023-05-26

发表评论

登录后才能评论

评论列表(0条)

保存