fpga的按键一定要消抖吗?抖动的一般频率是多少?可不可以通过选择频率的大小来实现消抖?

fpga的按键一定要消抖吗?抖动的一般频率是多少?可不可以通过选择频率的大小来实现消抖?,第1张

FPGA在高速处理时,按键一定要消抖。按键抖动时间约为5~10 ms,所以你可以编写一个子模块,先读取按键的值,存起来,然后计数(也就是延时,计数值用10ms除以系统时钟周期),10ms后再读取按键值,和之前的存起来的值做逻辑与运算。你所说的通过选择频率的大小来消抖,应该是指使采样频率大于抖动的频率。这样是可以达到消抖的目的,但是如何发挥的FPGA的高速性和实时性的优势呢。。

module sw_debounce(
clk,rst_n,
sw1_n,sw2_n,sw3_n,
led_d1,led_d2,led_d3
);
input clk; //主时钟信号,50MHz
input rst_n; //复位信号,低有效
input sw1_n,sw2_n,sw3_n; //三个独立按键,低表示按下
output led_d1,led_d2,led_d3; //发光二极管,分别由按键控制
//---------------------------------------------------------------------------
reg[2:0] key_rst;
always @(posedge clk or negedge rst_n)
if (!rst_n) key_rst <= 3'b111;
else key_rst <= {sw3_n,sw2_n,sw1_n};
reg[2:0] key_rst_r; //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中
always @ ( posedge clk or negedge rst_n )
if (!rst_n) key_rst_r <= 3'b111;
else key_rst_r <= key_rst;

//当寄存器key_rst由1变为0时,led_an的值变为高,维持一个时钟周期
wire[2:0] key_an = key_rst_r & ( ~key_rst);
//---------------------------------------------------------------------------
reg[19:0] cnt; //计数寄存器
always @ (posedge clk or negedge rst_n)
if (!rst_n) cnt <= 20'd0; //异步复位
else if(key_an) cnt <=20'd0;
else cnt <= cnt + 1'b1;

reg[2:0] low_sw;
always @(posedge clk or negedge rst_n)
if (!rst_n) low_sw <= 3'b111;
else if (cnt == 20'hfffff) //满20ms,将按键值锁存到寄存器low_sw中 cnt == 20'hfffff
low_sw <= {sw3_n,sw2_n,sw1_n};

//---------------------------------------------------------------------------
reg [2:0] low_sw_r; //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中
always @ ( posedge clk or negedge rst_n )
if (!rst_n) low_sw_r <= 3'b111;
else low_sw_r <= low_sw;

//当寄存器low_sw由1变为0时,led_ctrl的值变为高,维持一个时钟周期
wire[2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);
reg d1;
reg d2;
reg d3;

always @ (posedge clk or negedge rst_n)
if (!rst_n) begin
d1 <= 1'b0;
d2 <= 1'b0;
d3 <= 1'b0;
end
else begin //某个按键值变化时,LED将做亮灭翻转
if ( led_ctrl[0] ) d1 <= ~d1;
if ( led_ctrl[1] ) d2 <= ~d2;
if ( led_ctrl[2] ) d3 <= ~d3;
end
assign led_d3 = d1 1'b1 : 1'b0; //LED翻转输出
assign led_d2 = d2 1'b1 : 1'b0;
assign led_d1 = d3 1'b1 : 1'b0;

endmodule

你是怎么知道仿真是对的?你的输入激励和板子上的信号完全一致吗?用示波器测量了吗?你确认按键信号送入FPGA了吗?你在将该信号输出到片外测量下,是你想要的信号吗?
你现在问什么原因,只能告诉你,要么板子有问题,要么你的设计有问题,仿真正确在实际的设计中只能证明你的设计可能正确。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/13383689.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-07-25
下一篇 2023-07-25

发表评论

登录后才能评论

评论列表(0条)

保存