利用FPGA实现SVPWM的方法(1)

利用FPGA实现SVPWM的方法(1),第1张

苦苦思索好久也还没能完整地编出一个程序,所以在这里慢慢整理一下,说不定就能找到正确的思路。

首先先简单介绍一下SVPWM的基本原理

1.什么是SVPWM

SVPWM控制策略是依据变流器空间电压(电流)矢量切换来控制变流器的一种新颖思路的控制策略,采用逆变器空间电压切换以获得准圆形的旋转磁场,从而再不高的开关频率下使得交流电机获得较SPWM算法更好的控制性能。

SVPWM算法实际上是对应于交流电机中的三相电压源逆变器功率器件的一种特殊的开关触发顺序和脉宽大小的组合,这种开关触发顺序和组合将在定子线圈中产生三相互差120度的电角度,失真较小的正弦波电流波形。

SVPWM主要的优点有:

(1)优化谐波程度高,消除谐波效果比SPWM好,实现容易,同时能够提高电压的利用率。

(2)提高了电压源逆变器的直流电压利用率和电机动态响应速度,同时减小了电机的转矩脉动等缺点。

(3)SVPWM比较适合于数字化控制系统。

2.变换的一些简单推导

首先设三相的3个标量为xa,xb,xc, 同时满足xa+xb+xc=0,那么可以引入变换

Xout=xa+axb+a^2xc

其中 a=cos(2pi/3)+jsin(2pi/3)

a^2=cos(-2pi/3)+jsin(-2pi/3)

Xout的实部和虚部分别可以表示为:

ReXout=xa+xbcos(2pi/3)+xccos(-2pi/3)

ImXout=xbsin(2pi/3)+xcsin(-2pi/3)

上面的都毛的扯淡!svpwm控制的最初是磁链,但是最终控制的开关管的导通或者关断。在编写程序时会设定一个角度,角度的增进速度和频率有一个关系式,你可以推导一下。然后就按照那个增进角度进行增加,然后磁链就会旋转!最后就可以控制输出频率了!

void svpwm(float *ptr)

{

int A,B,C,N

double X,Y,Z,Tx,Ty,T0,T1,Tm,Th

if(usbeta>0)A=1

else A=0

if((1.732051*usalfa-usbeta)>0)B=1

else B=0

if((1.732051*usalfa-usbeta)>0)C=1

else C=0

N=A+2*B+4*C//计算扇区

X=1.732051*usbeta*Ts/udc

Y=(0.8660*usbeta+1.5*usalfa)*Ts/udc

Z=(-0.8660*usbeta+1.5*usalfa)*Ts/udc

swith(N)//各扇区工作时间

{

case 1:Tx=YTy=-Zbreak

case 2:Tx=-XTy=Ybreak

case 3:Tx=ZTy=Xbreak

case 4:Tx=-ZTy=-Xbreak

case 5:Tx=XTy=-Ybreak

default:Tx=-YTy=Z

}

if((Tx+Ty)>Ts)

{

Tx=Tx*Ts/(Tx+Ty)

Ty=Ty*Ts/(Tx+Ty)

}

T0=(Ts-(Tx+Ty))/4

T1=(Ts+Tx-Ty)/4

Tm=(Ts-Tx+Ty)/4

Th=(Ts+Tx+Ty)/4

swith(N)//比较寄存器赋值

{

case 1:*ptr=Tm*(ptr+1)=T0*(ptr+2)=Thbreak

case 2:*ptr=T0*(ptr+1)=Th*(ptr+2)=Tmbreak

case 3:*ptr=T0*(ptr+1)=T1*(ptr+2)=Thbreak

case 4:*ptr=Th*(ptr+1)=Tm*(ptr+2)=T0break

case 5:*ptr=Th*(ptr+1)=T0*(ptr+2)=T1break

default:*ptr=T1*(ptr+1)=Th*(ptr+2)=T0break

}

}


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/7966990.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-12
下一篇 2023-04-12

发表评论

登录后才能评论

评论列表(0条)

保存