fpga如何让蜂鸣器无限循环响停

fpga如何让蜂鸣器无限循环响停,第1张

fpga让蜂鸣器无限循环响停的方法是:

1、使FPGA的I/O口输出一个占空比为50%的PWM的信号,让蜂鸣器间歇性地发出声响。

2、如果频率高,则声音就尖锐急促。

3、复位后蜂鸣器发声,按下按键后停止发声,再次按下继续发声。

// 假设fpga的时钟输入频率是20MHz

// 假设蜂鸣器给'1'会响

module f2s

(

input clk,

input rst_n,

output reg f_out

)

parameter T2S = 26'd39999999

reg [25:0] cnt_2s

always@(posedge clk or negedge rst_n)

begin

if(!rst_n)

begin

cnt_2s <= 26'd0

f_out <= 1'b0

end

else if(cnt_2s == T2S)

begin

cnt_2s <= 26'd0

f_out <= 1'b1

end

else

cnt_2s <= cnt_2s + 1'b1

end

endmodule

是的。fpga设计蜂鸣器每0.5秒响一次是系统设定,在设置中可以更改。FPGA(FieldProgrammableGateArray)是在PAL(可编程阵列逻辑)、GAL(通用阵列逻辑)等可编程器件的基础上进一步发展的产物。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/8063172.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-13
下一篇 2023-04-13

发表评论

登录后才能评论

评论列表(0条)

保存