黑金开发板和ZRtech的板子,哪个比较好。。。。 初学FPGA用CPLD的板子可以么?

黑金开发板和ZRtech的板子,哪个比较好。。。。 初学FPGA用CPLD的板子可以么?,第1张

现在极力推荐zrtech的开发板。性价比是现在淘宝最高的。黑金吹嘘的太厉害。

zrtech 两个基本外设,4个高级外设(EZUSB, 百兆网络板,视频处理板,液晶触摸板)是淘宝硬件配置最高的。高级外设是黑金不能比的。

很多原创教程文档,双语教程,zrtech手把手教你学FPGA,为每一套开发板专门撰写了“开始你的FPGA学习之旅” 配合相应的教程文档和程序,助你轻松学会FPGA。

zrtech有altera USB-BLASTER下载线缆,CPLD, FPGA开发板,Xilinx platform 下载线缆,FPGA开发板,做的相当专业,全面。是黑金无法比拟的。

我买了好好学了三个月,都自称是高手了。

语言的话不用纠结verilog是首选,有c的基础学起来很快,fpga芯片的话初学最好用altera的,比较适合入门,发展方向的话,纯粹做fpga的到最后都去研究算法了,教材就用两本夏宇闻的verilog数字电路的设计与综合和xilinx可编程逻辑器件设计与开发,开发套件选个适合做逻辑的,现在好多开发板看起来很酷,实际上用起来不方便,反而有些看起来不怎么样的板子很好用,最新资料到网站或者论坛多逛逛就知道了推荐21ic和EDNchina

给你写一个例子,下面是一个设计文件和一个对应的测试程序,希望能起到抛砖引玉的和用:

/*

File Name : test.v

Author: www.flxc.net

Data : 2010-10-14 20:20:52

Description : This module is to shift the 32 bit input data with clock edges.

*/

`timescale 1ns/1ns

`define period 10

module test(

clk,

rst_n,

data_i,

data_o

)

input clk

input rst_n

input [31:0] data_i

output [31:0] data_o

reg [31:0] data_o

always@(posedge clk or negedge rst_n)

beg

if(!rst_n)

data_o <= 32'b0

else

data_o <= data_i >>1

end

endmodule

module test_tb

reg clk

reg rst_n

reg [31:0] data_i

wire [31:0] data_o

initial

begin

clk=1'b1

rst_n=1'b1

data_i=32'b1010_1111_1000_1111_1111_0000_0001_0000

#(`period/3)

rst_n=1'b0

#(`period/3)

rst_n=1'b1

#100000000

$stop

end

always #(`period/2) clk=~clk

endmodule


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/8092101.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-13
下一篇 2023-04-13

发表评论

登录后才能评论

评论列表(0条)

保存