vivado错误总结

vivado错误总结,第1张

[DRC NSTD-1] Unspecified I/O Standard: 4 out of 134 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: GPIO_LED_0[3:0].

[DRC UCIO-1] Unconstrained Logical Port: 4 out of 134 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: GPIO_LED_0[3:0].

pin planning error原因是   GPIO_LED_0[3:0]  和xdc文件上的名称不一致  xdc是GPIO_LED[3:0] 。注意观察bd文件中的引脚名称和xdc文件是否一样,或者打开implementation----->layout----->io管脚来观看是否引脚对的。或参考 https://www.eefocus.com/otod3r/blog/14-04/302799_38ddf.html 试试

2.

[BD 41-1356] Address block </math_ip_0/S_AXI/reg0>is not mapped into </processing_system7_0/Data>.。。。。。。。。。。。。。。

错误原因:地址分配有问题,点击其中一个小按钮自动分配下地址就行了

vivado点auto connect连接板子时出错。

提示ERROR:[ ] There is no active target available for server at localhost.

Targets(s) " " may be locked by another hw_server

Ctrl+Alt+Delete打开任务管理器,关闭旁誉盯hw_server.exe的进程,再次点auto connect就可以了

这个错误只在某些板子上会发生,那个进程莫名占用了板子,让vivado无法连接。运和把他强行虚凯关掉就可以了。

vivado报错synth 8-485 no port "a" on instance解决方法:

在复郑消位友睁时将寄好丛岁存器Packet_header_reg的初值设置为0


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/8214314.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-14
下一篇 2023-04-14

发表评论

登录后才能评论

评论列表(0条)

保存