12864LCD液晶屏如何滚动显示汉字?51单片机 求程序 汇编语言

12864LCD液晶屏如何滚动显示汉字?51单片机 求程序 汇编语言,第1张

RS

EQU P2.0

RW EQU P2.1

E EQU P2.2

PSB EQU P2.3

RST EQU

P2.5

-----------------------------------------------

LCD_X EQU

30H

LCD_Y EQU 31H

COUNT EQU 32H

COUNT1 EQU 33H

COUNT2 EQU

34H

COUNT3 EQU

35H

-----------------------------------------------

LCD_DATA EQU

36H

LCD_DATA1 EQU 37H

LCD_DATA2 EQU 38H

STORE EQU

39H

-----------------------------------------------

ORG 0000H

LJMP

MAIN

ORG

0100H

-----------------------------------------------

MAIN:

MOV

SP,#5FH

CLR RST 复位

LCALL DELAY4

SETB RST

NOP

SETB PSB

通讯方式为8位数据并口

********************初始化**********************

LGS0: MOV

A,#34H 34H--扩充指令 *** 作

LCALL SEND_I

MOV A,#30H 30H--基本指令 *** 作

LCALL

SEND_I

MOV A,#01H 清除显示

LCALL SEND_I

MOV A,#06H

指定在资料写入或读取时,光标的移动方向

LCALL SEND_I DDRAM 的地址计数器(AC)加1

MOV A,#0CH

开显示,关光标,不闪烁

LCALL

SEND_I

===============================================

TU_PLAY1:

MOV

DPTR,#TU_TAB1 显示图形

LCALL PHO_DISP

LCALL

DELAY3

=================================================

显示汉字和字符

加入80ms的延时,使你能够看清楚显示的过程

根据汉字显示坐标分段写入(顺序写入)

=================================================

HAN_WR2:

LCALL

CLEAR_P

HAN_WR2A:

MOV DPTR,#TAB1A 显示汉字和字符

MOV COUNT,#10H

地址计数器设为16。

MOV A,#80H 第一行起始地址

LCALL SEND_I

LCALL

QUSHU

HAN_WR2B:

MOV DPTR,#TAB1B 显示汉字和字符

MOV COUNT,#10H

地址计数器设为16。

MOV A,#90H 第二行起始地址

LCALL SEND_I

LCALL

QUSHU

HAN_WR2C:

MOV DPTR,#TAB1C 显示汉字和字游指符

MOV COUNT,#10H

地址计数器设为16。

MOV A,#88H 第三行起始地址

LCALL SEND_I

LCALL

QUSHU

HAN_WR2D:

MOV DPTR,#TAB1D 显示汉字和字符

MOV COUNT,#10H

地神耐配址计数器设为16。

MOV A,#98H 第四行起亩轮始地址

LCALL SEND_I

LCALL QUSHU

LCALL

DELAY3

LCALL FLASH

LCALL CLEAR_P

JMP

TU_PLAY2

----------------------------------------------

TU_PLAY1:

MOV

DPTR,#TU_TAB1 显示图形

LCALL PHO_DISP

LCALL

DELAY3

----------------------------------------------

TU_PLAY2:

MOV

DPTR,#TU_TAB2 显示图形

LCALL PHO_DISP

LCALL

DELAY3

----------------------------------------------

TU_PLAY3:

MOV

DPTR,#TU_TAB4 显示图形

LCALL PHO_DISP

LCALL

DELAY3

-----------------------------------------------

显示点阵

-----------------------------------------------

LATPLAY1:

MOV

A,#01H 清屏

LCALL SEND_I

MOV LCD_DATA1,#0CCH 显示点阵

MOV

LCD_DATA2,#0CCH

LCALL LAT_DISP

LCALL DELAY3

LCALL

CLEAR_P

KU_PLAY2:

LJMP

TU_PLAY1

===============================================

全屏显示图形子程序

===============================================

PHO_DISP:

MOV

COUNT3,#02H

MOV LCD_X,#80H

PHO_DISP1:

MOV LCD_Y,#80H

MOV

COUNT2,#20H

PHO_DISP2:

MOV COUNT1,#10H

LCALL WR_ZB

PHO_DISP3:

CLR

A

MOVC A,@A+DPTR

LCALL SEND_D

INC DPTR

DJNZ COUNT1,PHO_DISP3

INC

LCD_Y

DJNZ COUNT2,PHO_DISP2

MOV LCD_X,#88H

DJNZ

COUNT3,PHO_DISP1

MOV A,#36H

LCALL SEND_I

MOV A,#30H

LCALL

SEND_I

RET

----------------------------------------------

CLRRAM:

MOV

LCD_DATA1,#00H GDRAM写0子程序

MOV LCD_DATA2,#00H

LCALL

LAT_DISP

RET

==============================================

显示点阵子程序

==============================================

LAT_DISP:

MOV

COUNT3,#02H

MOV LCD_X,#80H

LAT_DISP1:

MOV LCD_Y,#80H

CLR F0

MOV

COUNT2,#20H

LAT_DISP2:

MOV COUNT1,#10H

LCALL WR_ZB

LAT_DISP3:

JB

F0,LAT_DISP32

MOV LCD_DATA,LCD_DATA1

AJMP LAT_DISP31

LAT_DISP32:

MOV

LCD_DATA,LCD_DATA2

LAT_DISP31:

MOV A,LCD_DATA

LCALL SEND_D

DJNZ

COUNT1,LAT_DISP31

INC LCD_Y

CPL F0

DJNZ COUNT2,LAT_DISP2

MOV

LCD_X,#88H

DJNZ COUNT3,LAT_DISP1

MOV A,#36H

LCALL SEND_I

MOV

A,#30H

LCALL

SEND_I

RET

---------------------------------------------

WR_ZB:

MOV

A,#34H

LCALL SEND_I

MOV A,LCD_Y

LCALL SEND_I

MOV A,LCD_X

LCALL

SEND_I

MOV A,#30H

LCALL

SEND_I

RET

===============================================

FLASH:

MOV

A,#08H 关闭显示

LCALL SEND_I

LCALL DELAY5

MOV A,#0CH 开显示,关光标,不闪烁

LCALL

SEND_I

LCALL DELAY5

MOV A,#08H 关闭显示

LCALL SEND_I

LCALL

DELAY5

MOV A,#0CH 开显示,关光标,不闪烁

LCALL SEND_I

LCALL DELAY5

MOV A,#08H

关闭显示

LCALL SEND_I

LCALL

DELAY5

RET

==================================================

清屏

==================================================

CLEAR_P:

MOV

A,#01H 清屏

LCALL SEND_I

MOV A,#34H

LCALL SEND_I

MOV A,#30H

LCALL

SEND_I

RET

==================================================

查表取数据送显示

==================================================

QUSHU:

CLR

A

MOVC A,@A+DPTR 查表取数据

LCALL SEND_D 送显示

INC DPTR

LCALL DELAY4

延时80ms,

DJNZ

COUNT,QUSHU

RET

===============================================

写数据子程序

RS=1,RW=0,E=高脉冲,D0-D7=数据

===============================================

SEND_D:

LCALL

CHK_BUSY 写数据子程序

SETB RS

CLR RW

MOV P0,A

SETB E

NOP

NOP

CLR

E

RET

===============================================

写指令子程序

RS=0,RW=0,E=高脉冲,D0-D7=指令码

===============================================

SEND_I:

LCALL

CHK_BUSY

CLR RS

CLR RW

MOV P0,A

SETB E

NOP

NOP

CLR

E

RET

================================================

读数据子程序

RS=1,RW=1,E=H,D0-D7=数据

================================================

READ_D:

LCALL

CHK_BUSY 读数据子程序

SETB RS

SETB RW

SETB E

NOP

MOV A,P0

CLR

E

MOV

STORE,A

RET

================================================

测忙碌子程序

RS=0,RW=1,E=H,D0-D7=状态字

================================================

CHK_BUSY:

MOV

P0,#0FFH 测忙碌子程序

CLR RS

SETB RW

SETB E

JB P0.7,$

CLR

E

RET

================================================

延时子程序

DELAY3:

MOV

R5,#16H

DEL31: MOV R6,#100

DEL32: MOV R7,#0FFH

DEL33: DJNZ

R7,DEL33

DJNZ R6,DEL32

DJNZ R5,DEL31

RET

DELAY2:

MOV

R6,#0CH

DEL21: MOV R7,#18H

DEL22: DJNZ R7,DEL22

DJNZ

R6,DEL21

RET

DELAY1:

MOV R6,#06H

DEL11: MOV R7,#08H

DEL12:

DJNZ R7,DEL12

DJNZ R6,DEL11

RET

DELAY4:

MOV R6,#100

DEL41:

MOV R7,#200

DEL42: DJNZ R7,DEL42

DJNZ

R6,DEL41

RET

DELAY5:

MOV R5,#05H

DEL51: MOV R6,#100

DEL52:

MOV R7,#0FFH

DEL53: DJNZ R7,DEL53

DJNZ R6,DEL52

DJNZ

R5,DEL51

RET

***********************************************

TAB1:

TAB1A:

DB ' 51单片机学习网(深圳学林电子有限公司) ' 显示在第一行

TAB1C: DB '自学单片机第一站' 显示在第三行

TAB1B: DB

' www.8951.COM ' 显示在第二行

TAB1D: DB 'TEL 755-89956892'

显示在第四行

*--------------------------------------------------------------------

*

* Bitmap点阵数据表 *

* 图片: E:\图形8.bmp,横向取模左高位,数据排列:从左到右从上到下 *

* 图片尺寸:

128 * 64

*

*--------------------------------------------------------------------

*

/*LCD12864显示程序

此程序控制LCD12864液晶屏,IC为KS0108或兼容型号

图形文件获取方法:

在字模提取V21软件中 ,导入一幅128*64黑白图像.

* 参数设置:

* 参数设置->其它选项,选择纵向取模,勾上字节倒序,保留逗号,

* 取模方式为C51。

将生成的数组通过keilc等C编译软件,在编译软件中新建一工程,写入源程序如下:

unsigned char code tab[]=

{

//图像数据

}

编译此工程将得到hex文件.在QII中使用lpm_rom宏功能模块中调用此hex文件.

*

*******************************************************************************/

module newlcd(clock,rst_n,rs,rw,en,data,lcd_cs)

// I/O口声明

input clock //系统时钟

input rst_n //复位信号

output[1:0] lcd_cs //

outputrs //1:数据模式;0:指令模式

outputrw //1:读 *** 作;0:写 *** 作

outputen //使能信号,写 *** 作时在下降沿将数并答亩据举肢送出;读 *** 作时保持高电平

output[7:0] data//绝森LCD数据总线

// I/O寄存器

reg rs

reg en

reg[1:0] lcd_cs

reg[7:0] data

//内部寄存器

reg[3:0] state //状态机

reg[3:0] next_state

reg[20:0] div_cnt //分频计数器

reg[9:0] cnt //写 *** 作计数器

reg cnt_rst //写 *** 作计数器复位信号

wire[7:0] showdata //要显示的数据

reg[1:0] cs_r

reg [2:0] page_addr

reg [5:0] row_addr

//内部网线

wire clk_div//分频时钟

wire clk_divs

wire page_done //写一行数据完成标志位

wire frame_done //写一屏数据完成标志位

wire left_done

//状态机参数

parameter idle =4'b0000,

setbase_1=4'b0001,

setbase_2=4'b0011,

setmode_1=4'b0010,

setmode_2=4'b0110,

SETpage_addr_1=4'b0111,

SETpage_addr_2=4'b0101,

SETrow_addr_1 =4'b1101,

SETrow_addr_2 =4'b1111,

write_right_1 =4'b1110,

write_right_2 =4'b1010,

write_nextpage_1 =4'b1011,

write_nextpage_2 =4'b1001,

wr_data_1 =4'b0100,

wr_data_2 =4'b1100

// set_1=4'b1000

//******************************代码开始*********************************

assign rw = 1'b0 //对LCD始终为写 *** 作

//时钟分频

always@(posedge clock or negedge rst_n)

begin

if(!rst_n)

div_cnt <= 0

else

div_cnt <= div_cnt+1'b1

end

assign clk_div = (div_cnt[15:0] == 20'h7fff)

//状态机转向

always@(posedge clock or negedge rst_n)

begin

if(! rst_n)

state <= idle

else if(clk_div)

state <= next_state

end

//************************状态机逻辑*********************************

always@(state or page_done or left_done or frame_done or cnt or showdata or page_addr or row_addr or cs_r)

begin

rs <= 1'b0

en <= 1'b0

lcd_cs <= cs_r

cnt_rst <= 1'b0

data <= 8'h0

case(state)

idle:

begin

next_state <= setbase_1

cnt_rst <= 1'b1

end

//**************************初始化LCD********************************

setbase_1: //基本指令 *** 作

begin

lcd_cs <= 2'b11

next_state <= setbase_2

data <= 8'hc0

en <= 1'b1

end

setbase_2:

begin

lcd_cs <= 2'b11

next_state <= setmode_1

data <= 8'hc0

end

//******************************************************************

setmode_1:

begin

lcd_cs <= 2'b11

next_state <= setmode_2

data <= 8'h3f

en <=1'b1

end

setmode_2:

begin

next_state <= SETpage_addr_1

data <= 8'h3f

end

//******************************************************************

SETpage_addr_1: //设置页地址

begin

next_state <= SETpage_addr_2

data <=

en <= 1'b1

end

SETpage_addr_2:

begin

next_state <= SETrow_addr_1

data <=

end

SETrow_addr_1: //设置列地址

begin

next_state <= SETrow_addr_2

data <=

en <= 1'b1

end

SETrow_addr_2:

begin

next_state <= wr_data_1

data <=

end

//******************************************************************

/*

write_right_1: //写完左半屏64个,换为右半屏显示

begin

next_state <=write_right_2

row_addr <= 0

end

write_right_2:

begin

next_state <= SETpage_addr_1

end

//******************************************************************

write_nextpage_1: //写完全一行128个

begin

next_state <=write_nextpage_2

row_addr <= 0

end

write_nextpage_2:

begin

next_state <= SETpage_addr_1

end

*/

//******************************************************************

wr_data_1: //写数据到图形显示区

begin

next_state <= wr_data_2

rs <= 1'b1

en <= 1'b1

data <= showdata

end

wr_data_2:

begin

rs <= 1'b1

data <= showdata

if(left_done) //写完左半屏数据64个

begin

if(page_done) //写完一页数据128个

begin

if(frame_done) //写完一屏数据(8页)

next_state <= idle

else

// next_state <= write_nextpage_1

next_state <= SETpage_addr_1

end

else

// next_state <= write_right_1

next_state <= SETpage_addr_1

end

else

next_state <= wr_data_1

end

default: next_state <= idle

endcase

end

//********************************************************************

always@(posedge clock)

begin

if(clk_div)

begin

if(cnt_rst)

begin

cnt <= 0

end

else if(state == wr_data_2)

begin

cnt <= cnt+1'b1

end

end

end

//****************************************************

always@(posedge clock or negedge rst_n)

if(!rst_n)

begin

cs_r <= 2'b01

page_addr <= 0

end

else

if(clk_div &&(state == wr_data_2))

if(page_done)//

begin

cs_r <= 2'b01

page_addr <= page_addr + 1'b1//一页写完时写下一页

end

else

if(left_done)

begin

cs_r <= 2'b10

end

//*********************************************************************

//********************************************************************

assign left_done = (cnt[5:0] == 6'd63) //写完左半屏数据64个

assign page_done = (cnt[6:0] == 7'd127) //写完一页数据128个

assign frame_done = (cnt[9:4] == 7'h3f) //写完一屏数据

//***********************************************************************

//*******************************************************************

//调用ROM(图片数据)

rom rom(.address(cnt+'d8),.clock(clock),.q(showdata))

endmodule

开发板例程 自己看吧

我可以帮助你,你先设置我最佳答案后,我百度Hii教你。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/8229501.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-14
下一篇 2023-04-14

发表评论

登录后才能评论

评论列表(0条)

保存