fpga开发板 跑马灯程序运转正常,但是其他灯全亮。

fpga开发板 跑马灯程序运转正常,但是其他灯全亮。,第1张

板子还可以,逗兄你可以看一下电路图,8X8点阵、数码管与8个 LED灯是不是通过跳冒连接的,如果是的话可以通过纯指肢 插拔跳冒线断开他们之间的连接,至于两外一个灯可以不用考虑。做世我的板子系统正常运行有几个灯是做显示用的,不用考虑

因为和禅你把两个大坦文件都添加到文件列表里 而且led_v_dir.v里面有`include "gen_divd.v"

导致编译两次gen_divd.v

要不删掉这句 要不就只添加led_v_dir.v这一个文件(并且保证两个滚棚桐文件在相同目录)

variable aa:std_logic

variable bb:std_logic

variable cc:std_logic

variable dd:std_logic

variable ee:std_logic

variable ff:std_logic

variable gg:std_logic这部分如老要放到渣孝升process的慎友外部的.


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/8269787.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-14
下一篇 2023-04-14

发表评论

登录后才能评论

评论列表(0条)

保存