在FPGA中设计任意时间的延时

在FPGA中设计任意时间的延时,第1张

首先它不可能做到任意时间的延时,而做延时可以分为与时钟有关的延时和与时钟无关的延时,如果做与时钟有关的可以用计数器来做这样最少只能延时半个时钟周期,半个时钟周期以内的侍轮延时亏谈毁就无法做到,而要做半个时钟以内的延时则要用门来做,不同的FPGA门延时会有一些区别看你用的FPGA是多少,一般用门延时来做的话可以达到机个NS的延时,当然它也有最小延时的限制那就是你的门延时。一般来说用VHDL很容易实现计数器做的延时也就是第一种。第二种实现起销备来也不难,你直接调用门的IP就可以了

字面意思理扒衫解,所谓约束,就是加上一些条,说白了就是通过时序约束对逻辑综合器提出你的要求,然后综合器根据要求进行布局布线。FPGA中的延时主要有门延时梁此搏和走线延时(传输延时),布局布线时FPGA中橡祥的逻辑资源和布线资源分布是随机的


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/yw/8274993.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-14
下一篇 2023-04-14

发表评论

登录后才能评论

评论列表(0条)

保存