使用Modelsim进行简单仿真

使用Modelsim进行简单仿真,第1张

使用Modelsim进行简单仿真

这里记载一下使用modelsim进行简单的仿真,方便以后使用的时候进行查看。


所谓的简单的仿真,就是没有IP核、只用图形界面不用tcl脚本进行的仿真。


简单的仿真步骤为:

1、改变路径到工作环境下的路径下面,创建工程。


2、添加仿真的源文件(.v文件等)。


3、编译源文件。


4、启动仿真,添加仿真信号。


5、调试、查看仿真波形


这里就使用一个简单的例子——8分频电路,进行演示和讲解:

1、改变路径到工作环境下的路径下面,创建工程:

  ①打开modelsim后如果有工程,则先关掉工程:

        

关掉工程:

         

  ②改变工作路径:

   ③创建工程

        

        

OK之后,在当前的工作目录下面就创建了一个“work”的文件夹,这也就是物理库:

      

2、添加仿真源文件

            

或者右击空白处:

       

然后进行选择添加:

      

        

        

3、编译源文件

    

或者:

        

编译成功后:

      

如果编译不成功的,根据提示的信息,进行修改代码,然后对不成功的模块进行部分编译:

    

(如果modelsim看到的中文是乱码,那么可以在编辑器中进行模式转换,如从ASC转换成xxx编码模式),然后修改再编译(注意,所有的目录都应该放在英文路径中)。


4、启动仿真,添加仿真信号。


  ①切换到库选项卡,点开work,启动仿真

  在信息栏上面有两个选项卡:library和project两个选项卡,编译完之后,文件被编译到work目录下(library上面有work的选项,点开可以看到设计和仿真的.v文件),work文件夹里面包含此次工程编译库的信息;用modelsim打开的是.mpf
文件,也就是.mpf是modelsim的工程文件。


                

右击tb文件(如下图所示),选中测试模块的文件,右击,选择第二个simulation without optimistic(不进行优化代码再仿真,因为优化代码可能会把一些信号给优化掉),然后就启动了仿真:

           

  ②进行添加仿真信号

  启动仿真后,信息栏上面的选项卡会增加一个选项:sim;也就是总共有三个选项卡在信息栏上面(Library,project,sim)在sim选项中,左边是模块的整体结构;右击例化的设计文件,选择 ADD wire,就可以添加信号到波形窗口

     

然后就d出了波形窗口:

     

5、调试、查看仿真波形。


  ①点击运行

         

就可以看到波形了:

    

Run是每点击一次运行固定的时间(如100ns);

Continuerun是一直运行,直到点击break,点击break后显示波形;

runall是运行所有,直到点击break,点击break后显示波形。


  ②看波形,发现不对;修改代码后,需要在project区进行重新编译,然后回到sim区,点击重新开始,然后根据①的步骤就可以继续完成简单的仿真工作了。


注:添加仿真信号可以直接把信号拖到波形窗口区:

       

然后可以调试查看波形。


关于其他调试、查看波形的技巧,以后学习了、用到了再进行记录。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zaji/587015.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-04-12
下一篇 2022-04-12

发表评论

登录后才能评论

评论列表(0条)

保存