fpga实现tdc,怎么实现啊

fpga实现tdc,怎么实现啊,第1张

1首先在FPGA里面实现TDC有两个基本的工作,即选择合适的FPGA和选取合适的算法。

就FPGA来讲,我经验也不丰富,只是知道Xilinx公司的Vertex系列FPGA能够满足做高精度TDC的要求。其中专用进位链的单元延时在40ps左右,可用作延时单元。

2TDC的算法的话,最简单的就是延迟线内插法,就是以单元延时作为时间的最小度量单位的方法。

3选取了这两个方面之后,就可以通过HDL(硬件描述语言,如VerilogHDL或者VHDL)来描述实现简单的TDC模块了。

4具体的细节问题可能比较多一些,但是大概思路就是这样。

1、这个主要是看时序,如果MSP430F135的SPI时序满足TDC-GP2的数据接收、发送时序,可以用SPI接口实现。

2、可能原因是SPI接口已被占用,只能用I/O口模拟,另外I/O口模拟时的时钟信号持续高低电平的时间是可控的

3、另外,这样可以采用中断,避免因不断的扫描而带来额外的系统消耗。

以上就是关于fpga实现tdc,怎么实现啊全部的内容,包括:fpga实现tdc,怎么实现啊、TDC-GP2网上的相关程序 为什么MSP430F135明明有SPI口 为什么不直接用 还要用I/O口进行模拟 请高手指教、等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/10091884.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-05
下一篇 2023-05-05

发表评论

登录后才能评论

评论列表(0条)

保存