用verilog在fpga上实现: 10k~100khz正弦波,三角波,锯齿波波形发生器(基于d

用verilog在fpga上实现: 10k~100khz正弦波,三角波,锯齿波波形发生器(基于d,第1张

楼上太犀利了,哈哈

你的第一二三条可以合成一条,直接在modesim里面写代码,testbench,然后仿真,当然也可以直接用quartus II里面的仿真器仿真,如果信号较少的话也很方便的。

四五六七条可以合成一条,直接在quartus II里面的全编译选项即可

编译完成后直接下载调试了。

还有既然开发FPGA,肯定要选择芯片的型号,具体的型号要看你有哪种FPGA的实体了,芯片上都有标示的。

给你一个思路吧:

AD转换

后采出来的数据设定一个门限,比如Vpp=0-5V的正弦波,可以设置为2-3V(具体数字根据AD器件决定)然后记录每秒钟数据从2V到3V的次数,即可计算频率。

第一种:你可以先将输入60MHZ的正弦波通过整形(整形电路)得到60MHZ的方波,然后经过FPGA进行分频,就可以了,挺简单的

第二种:你可以直接对争先信号进行判断,给它一个初值,将其整形为方波,最后再经过分频,就OK了!!!(这种方法不需要整形硬件电路)

以上就是关于用verilog在fpga上实现: 10k~100khz正弦波,三角波,锯齿波波形发生器(基于d全部的内容,包括:用verilog在fpga上实现: 10k~100khz正弦波,三角波,锯齿波波形发生器(基于d、fpga设计的等精度频率计如何测出dds信号发生器产生的正弦信号的频率、利用FPGA运放整形一个正弦波变成一个占空比为50%的方波等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/10103535.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-05
下一篇 2023-05-05

发表评论

登录后才能评论

评论列表(0条)

保存