阿里正在研发专用soc芯片,对云计算技术的升级有什么意义?

阿里正在研发专用soc芯片,对云计算技术的升级有什么意义?,第1张

据消息人透露,阿里平头哥正在研发一款专用SoC芯片,该SoC芯片将用于阿里云神龙服务器的核心组件MOC卡,以推动下一代云计算技术的升级。

分析认为,系统芯片(SoC)指的是在单个芯片上集成一个完整的系统,对所有或部分必要的电子电路进行包分组的技术。由于强大的高效集成性能,系统芯片是替代集成电路的主要解决方案。SoC已经成为当前微电子芯片发展的必然趋势。

相关概念股:

旋极信息:公司在互动平台表示,子公司成都旋极星源信息技术有限公司与阿里平头哥半导体有限公司达成战略合作,携手推出低功耗物联网SOC平台解决方案。

国民技术:公司参股公司华夏芯依托现代工艺及计算架构的设计创新,为下游客户量身定制IP内核或者SoC芯片。

SoC芯片是一种集成电路的芯片,可以有效地降低电子/信息系统产品的开发成本,缩短开发周期,提高产品的竞争力,是未来工业界将采用的最主要的产品开发方式

随着设计与制造技术的发展,集成电路设计从晶体管的集成发展到逻辑门的集成,现在又发展到IP的集成,即SoC(System-on-a-Chip)设计技术SoC可以有效地降低电子/信息系统产品的开发成本,缩短开发周期,提高产品的竞争力,是未来工业界将采用的最主要的产品开发方式。虽然SoC一词多年前就已出现,但到底什么是SoC则有各种不同的说法。在经过了多年的争论后,专家们就SoC的定义达成了一致意见。这个定义虽然不是非常严格,但明确地表明了SoC的特征:实现复杂系统功能的VLSI; 采用超深亚微米工艺技术;使用一个以上嵌入式CPU/数字信号处理器(DSP);外部可以对芯片进行编程。

SoC的定义多种多样,由于其内涵丰富、套用范围广,很难给出准确定义。一般说来, SoC称为系统级晶片,也有称片上系统,意指它是一个产品,是一个有专用目标的积体电路,其中包含完整系统并有嵌入软体的全部内容。同时它又是一种技术,用以实现从确定系统功能开始,到软/硬体划分,并完成设计的整个过程。

基本介绍 中文名 :系统级晶片 外文名 :System on Chip 缩写 :SoC 别称 :民航SOC 英文解析,片上系统,综述,功能,技术发展,技术特点,优势,存在问题,核心技术,设计思想,基本结构,设计基础,设计过程,设计方法学,套用动态, 英文解析 SOC,或者SoC,是一个缩写,包括的意思有: 1) SoC: System on Chip的缩写,称为晶片级系统,也有称片上系统,意指它是一个产品,是一个有专用目标的积体电路,其中包含完整系统并有嵌入软体的全部内容。 2) SOC : Security Operations Center的缩写,属于信息安全领域的安全运行中心。 3) 民航SOC :System Operations Center的缩写,指民航领域的指挥控制系统。 4)一个是Service-Oriented Computing,“面向服务的计算” 5)SOC(Signal Operation Control) 中文名为信号 *** 作控制器,它不是创造概念的发明,而是针对工业自动化现状提出的一种融合性产品。它采用的技术是正在工业现场大量使用的成熟技术,但又不是对现有技术的简单堆砌,是对众多实用技术进行封装、接口、集成,形成全新的一体化的控制器,可由一个控制器就可以完成作业,称为SOC。 6)SOC(start-of-conversion ),启动转换。 7)short-open calibration 短开路校准。 片上系统 System on Chip,简称Soc,也即片上系统。从狭义角度讲,它是信息系统核心的晶片集成,是将系统关键部件集成在一块晶片上;从广义角度讲, SoC是一个微小型系统,如果说中央处理器(CPU)是大脑,那么SoC就是包括大脑、心脏、眼睛和手的系统。国内外学术界一般倾向将SoC定义为将微处理器、模拟IP核、数字IP核和存储器(或片外存储控制接口)集成在单一晶片上,它通常是客户定制的,或是面向特定用途的标准产品。 SoC定义的基本内容主要在两方面:其一是它的构成,其二是它形成过程。系统级晶片的构成可以是系统级晶片控制逻辑模组、微处理器/微控制器CPU 核心模组、数位讯号处理器DSP模组、嵌入的存储器模组、和外部进行通讯的接口模组、含有ADC /DAC 的模拟前端模组、电源提供和功耗管理模组,对于一个无线SoC还有射频前端模组、用户定义逻辑(它可以由FPGA 或ASIC实现)以及微电子机械模组,更重要的是一个SoC 晶片内嵌有基本软体(RDOS或COS以及其他套用软体)模组或可载入的用户软体等。系统级晶片形成或产生过程包含以下三个方面: 1) 基于单片集成系统的软硬体协同设计和验证; 2) 再利用逻辑面积技术使用和产能占有比例有效提高即开发和研究IP核生成及复用技术,特别是大容量的存储模组嵌入的重复套用等; 3) 超深亚微米(VDSM) 、纳米积体电路的设计理论和技术。 SoC设计的关键技术 SoC关键技术主要包括汇流排架构技术、IP核可复用技术、软硬体协同设计技术、SoC验证技术、可测性设计技术、低功耗设计技术、超深亚微米电路实现技术, 并且包含做嵌入式软体移植、开发研究,是一门跨学科的新兴研究领域 综述 SoC是System on Chip的缩写,直译是“晶片级系统”,通常简称“片上系统”。因为涉及到“Chip”,SoC身上也会体现出“积体电路”与“晶片”之间的联系和区别,其相关内容包括积体电路的设计、系统集成、晶片设计、生产、封装、测试等等。跟“晶片”的定义类似,SoC更强调的是一个整体,在积体电路领域,给它的定义为:由多个具有特定功能的积体电路组合在一个晶片上形成的系统或产品,其中包含完整的硬体系统及其承载的嵌入式软体。 这意味着,在单个晶片上,就能完成一个电子系统的功能,而这个系统在以前往往需要一个或多个电路板,以及板上的各种电子器件、晶片和互连线共同配合来实现。前面我们说积体电路的时候提到过楼房对平房的集成,而SoC可以看作是城镇对楼房的集成;宾馆、饭店、商场、超市、医院、学校、汽车站和大量的住宅,集中在一起,构成了一个小镇的功能,满足人们吃住行的基本需求。目前SoC更多的是对处理器(包括CPU、DSP)、存储器、各种接口控制模组、各种互联汇流排的集成,其典型代表为手机晶片(参见术语“终端晶片”的介绍)。目前SoC还达不到单晶片实现一个传统的电子产品的程度,可以说现在SoC只是实现了一个小镇的功能,还不能实现一个城市的功能。 SOC积体电路 SoC有两个显著的特点:一是硬体规模庞大,通常基于IP设计模式;二是软体比重大,需要进行软硬体协同设计。城市相比农村的优势很明显:配套齐全、交通便利、效率高。SoC也有类似特点:在单个晶片上集成了更多配套的电路,节省了积体电路的面积,也就节省了成本,相当于城市的能源利用率提高了;片上互联相当于城市的快速道路,高速、低耗,原来分布在电路板上的各器件之间的信息传输,集中到同一个晶片中,相当于本来要坐长途汽车才能到达的地方,现在已经挪到城里来了,坐一趟捷运或BRT就到了,这样明显速度快了很多;城市的第三产业发达,更具有竞争力,而SoC上的软体则相当于城市的服务业务,不单硬体好,软体也要好;同样一套硬体,今天可以用来做某件事,明天又可以用来做另一件事,类似于城市中整个社会的资源配置和调度、利用率方面的提高。可见SoC在性能、成本、功耗、可靠性,以及生命周期与适用范围各方面都有明显的优势,因此它是积体电路设计发展的必然趋势。目前在性能和功耗敏感的终端晶片领域,SoC已占据主导地位;而且其套用正在扩展到更广的领域。单晶片实现完整的电子系统,是IC 产业未来的发展方向。 功能 1) 安全对象管理 2) 脆弱性管理 3) 风险管理 4) 事件管理 5) 网路管理 6) 安全预警与告警管理 7) 安全策略管理 8) 工单管理 9) 知识库管路 10) 专家辅助决策管理 11) 报表管理 12) 分级管理 系统可以分为三大组件:伺服器(Server)、代理(Agent)和资料库(DataBase)。代理(Agent)负责在网路中采集全网安全事件,预处理(对原始安全事件进行收集、过滤、归并等 *** 作)后传送给伺服器(Server);伺服器负责对预处理后的安全事件进行集中分析、回响、可视化输出以及做出专家建议;资料库则负责集中存储预处理后的安全事件。 技术发展 积体电路的发展已有40年的历史,它一直遵循摩尔所指示的规律推进,现已进入深亚微米阶段。由于信息市场的需求和微电子自身的发展,引发了以微细加工(积体电路特征尺寸不断缩小)为主要特征的多种工艺集成技术和面向套用的系统级晶片的发展。随着半导体产业进入超深亚微米乃至纳米加工时代,在单一积体电路晶片上就可以实现一个复杂的电子系统,诸如手机晶片、数位电视晶片、DVD 晶片等。在未来几年内,上亿个电晶体、几千万个逻辑门都可望在单一晶片上实现。 SoC (System - on - Chip)设计技术始于20世纪90年代中期,随着半导体工艺技术的发展,IC设计者能够将愈来愈复杂的功能集成到单矽片上, SoC正是在积体电路( IC)向集成系统( IS)转变的大方向下产生的。1994年Motorola发布的FlexCore系统(用来制作基于68000和PowerPC的定制微处理器)和1995年LSILogic公司为Sony公司设计的SoC,可能是基于IP( IntellectualProperty)核完成SoC设计的最早报导。由于SoC可以充分利用已有的设计积累,显著地提高了ASIC的设计能力,因此发展非常迅速,引起了工业界和学术界的关注。 SOC是积体电路发展的必然趋势,是技术发展的必然,也是IC 产业未来的发展。 技术特点 半导体工艺技术的系统集成 软体系统和硬体系统的集成 优势 降低耗电量 减少体积 增加系统功能 提高速度 节省成本 存在问题 当前晶片设计业正面临着一系列的挑战,系统晶片SoC已经成为IC设计业界的焦点, SoC性能越来越强,规模越来越大。SoC晶片的规模一般远大于普通的ASIC,同时由于深亚微米工艺带来的设计困难等,使得SoC设计的复杂度大大提高。在SoC设计中,仿真与验证是SoC设计流程中最复杂、最耗时的环节,约占整个晶片开发周期的50%~80% ,采用先进的设计与仿真验证方法成为SoC设计成功的关键。SoC技术的发展趋势是基于SoC开发平台,基于平台的设计是一种可以达到最大程度系统重用的面向集成的设计方法,分享IP核开发与系统集成成果,不断重整价值链,在关注面积、延迟、功耗的基础上,向成品率、可靠性、电磁干扰(EMI) 噪声、成本、易用性等转移,使系统级集成能力快速发展。 所谓SoC技术,是一种高度集成化、固件化的系统集成技术。使用SoC技术设计系统的核心思想,就是要把整个套用电子系统全部集成在一个晶片中。在使用SoC技术设计套用系统,除了那些无法集成的外部电路或机械部分以外,其他所有的系统电路全部集成在一起。 核心技术 系统功能集成是SoC的核心技术 在传统的套用电子系统设计中,需要根据设计要求的功能模组对整个系统进行综合,即根据设计要求的功能,寻找相应的积体电路,再根据设计要求的技术指标设计所选电路的连线形式和参数。这种设计的结果是一个以功能积体电路为基础,器件分散式的套用电子系统结构。设计结果能否满足设计要求不仅取决于电路晶片的技术参数,而且与整个系统PCB版图的电磁兼容特性有关。同时,对于需要实现数位化的系统,往往还需要有单片机等参与,所以还必须考虑分散式系统对电路固件特性的影响。很明显,传统套用电子系统的实现采用的是分布功能综合技术。 对于SoC来说,套用电子系统的设计也是根据功能和参数要求设计系统,但与传统方法有着本质的差别。SoC不是以功能电路为基础的分散式系统综合技术。而是以功能IP为基础的系统固件和电路综合技术。首先,功能的实现不再针对功能电路进行综合,而是针对系统整体固件实现进行电路综合,也就是利用IP技术对系统整体进行电路结合。其次,电路设计的最终结果与IP功能模组和固件特性有关,而与PCB板上电路分块的方式和连线技术基本无关。因此,使设计结果的电磁兼容特性得到极大提高。换句话说,就是所设计的结果十分接近理想设计目标。 SoC设计的关键技术主要包括汇流排架构技术、IP核可复用技术、软硬体协同设计技术、SoC验证技术、可测性设计技术、低功耗设计技术、超深亚微米电路实现技术等,此外还要做嵌入式软体移植、开发研究,是一门跨学科的新兴研究领域。 设计思想 固件集成是SoC的基础设计思想 在传统分散式综合设计技术中,系统的固件特性往往难以达到最优,原因是所使用的是分散式功能综合技术。一般情况下,功能积体电路为了满足尽可能多的使用面,必须考虑两个设计目标:一个是能满足多种套用领域的功能控制要求目标;另一个是要考虑满足较大范围套用功能和技术指标。因此,功能积体电路(也就是定制式积体电路)必须在I/O和控制方面附加若干电路,以使一般用户能得到尽可能多的开发性能。但是,定制式电路设计的套用电子系统不易达到最佳,特别是固件特性更是具有相当大的分散性。 对于SoC来说,从SoC的核心技术可以看出,使用SoC技术设计套用电子系统的基本设计思想就是实现全系统的固件集成。用户只须根据需要选择并改进各部分模组和嵌入结构,就能实现充分最佳化的固件特性,而不必花时间熟悉定制电路的开发技术。固件基础的突发优点就是系统能更接近理想系统,更容易实现设计要求。 基本结构 嵌入式系统是SoC的基本结构 在使用SoC技术设计的套用电子系统中,可以十分方便地实现嵌入式结构。各种嵌入结构的实现十分简单,只要根据系统需要选择相应的核心,再根据设计要求选择之相配合的IP模组,就可以完成整个系统硬体结构。尤其是采用智慧型化电路综合技术时,可以更充分地实现整个系统的固件特性,使系统更加接近理想设计要求。必须指出,SoC的这种嵌入式结构可以大大地缩短套用系统设计开发周期。 设计基础 IP是SoC的设计基础 传统套用电子设计工程师面对的是各种定制式积体电路,而使用SoC技术的电子系统设计工程师所面对的是一个巨大的IP库,所有设计工作都是以IP模组为基础。SoC技术使套用电子系统设计工程师变成了一个面向套用的电子器件设计工程师西叉欧。由此可见,SoC是以IP模组为基础的设计技术,IP是SoC套用的基础。 设计过程 SoC技术中的不同阶段 用SoC技术设计套用电子系统的几个阶段如图1所示。在功能设计阶段,设计者必须充分考虑系统的固件特性,并利用固件特性进行综合功能设计。当功能设计完成后,就可以进入IP综合阶段。IP综合阶段的任务利用强大的IP库实现系统的功能IP结合结束后,首先进行功能仿真,以检查是否实现了系统的设计功能要求。功能仿真通过后,就是电路仿真,目的是检查IP模组组成的电路能否实现设计功能并达到相应的设计技术指标。设计的最后阶段是对制造好的SoC产品进行相应的测试,以便调整各种技术参数,确定套用参数。 设计方法学 1、设计重用技术 数百万门规模的系统级晶片设计,不能一切从头开始,要将设计建立在较高的层次上。需要更多地采用IP复用技术,只有这样,才能较快地完成设计,保证设计成功,得到价格低的 SoC,满足市场需求。 设计再利用是建立在芯核(CORE)基础上的,它是将己经验证的各种超级宏单元模组电路制成芯核,以便以后的设计利用。芯核通常分为三种,一种称为硬核,具有和特定工艺相连系的物理版图,己被投片测试验证。可被新设计作为特定的功能模组直接调用。第二种是软核,是用硬体描述语言或C语言写成,用于功能仿真。第三种是固核(firm core),是在软核的基础上开发的,是一种可综合的并带有布局规划的软核。设计时候覆用方法在很大程度上要依靠固核,将RTL级描述结合具体标准单元库进行逻辑综合最佳化,形成门级网表,再通过布局布线工具最终形成设计所需的硬核。这种软的RTL综合方法提供一些设计灵活性,可以结合具体套用,适当修改描述,并重新验证,满足具体套用要求。另外随着工艺技术的发展,也可利用新的库重新综合最佳化、布局布线、重新验证以获得新工艺条件下的硬核。用这种方法实现设计再利用和传统的模组设计方法相比其效率可以提高2-3倍,因此,035um工艺以前的设计再利用多用这种RTL软核 2、综合方法实现 随着工艺技术的发展,深亚微米(DSM)使系统级晶片更大更复杂。这种综合方法将遇到新的问题,因为随着工艺向018um或更小尺寸发展,需要精确处理的不是门延迟而是互连线延迟。再加之数百兆的时钟频率,信号间时序关系十分严格,因此很难用软的RTL综合方法达到设计再利用的目的。 建立在芯核基础上的系统级晶片设计,使设计方法从电路设计转向系统设计,设计重心将从今天的逻辑综合、门级布局布线、后模拟转向系统级模拟,软硬体联合仿真,以及若干个芯核组合在一起的物理设计。迫使设计业向两极分化,一是转向系统,利用IP设计高性能高复杂的专用系统。另一方面是设计DSM下的芯核步入物理层设计,使DSM芯核 能更好并可预测。 3、低功耗的设计技术 系统级晶片因为百万门以上的集成度和数百兆时钟频率下工作,将有数十瓦乃至上百瓦的功耗。巨大的功耗给使用封装以及可靠性方面都带来问题,因此降低功耗的设计是系统级晶片设计的必然要求。设计中应从多方面着手降低晶片功耗。 套用动态 2014年8月20日,国产彩电巨头创维在京召开以“见证奇G的时刻”为主题的新品发布会,高调发布全球首款GLED电视。此次发布会堪称重量级,不仅创维集团高层领导悉数出席,更是邀请到工信部刁司长,以及国内160余家主流媒体及行业专家。 会上工信部刁司长发表了讲话,讲话内容表示:创维集团与华为海思以项目为纽带结成了紧密的合作伙伴,并成功研制我国首款自主研发并成功实现量产的高端智慧型电视晶片,晶片性能优于市场同类晶片,对改变我国彩电行业缺芯少屏的局面,提升电子信息产业核心竞争力有着重要的意义! 2014年8月21日《新闻联播》报导:“中国本土企业创维联合海思自主研发的智慧型电视SOC晶片研制成功并首次实现量产。搭载这款晶片的创维GLED新品的系统速度、解码能力等智慧型电视核心性能居行业领先水平。”同时,创维此“智慧型电视SOC晶片研发及产业化”项目已经申报“核心电子器件、高端通用晶片及基础软体产品”国家科技重大专项(简称“核高基重大专项”)课题,创维将与海思在晶片定义、晶片验证、晶片的整机研发和产业化等核心领域展开深度合作。首批搭载此晶片的创维G8200系列新品4000台已于2014年8月20日上市。

……这不是问题……是没办法的,手机配置比电脑差,上人多的>Chris Rowen博士是微处理器领域的资深专家,也是SoC设计的开拓者之一。最近Chris Rowen博士在北京就微处理器和SoC设计技术的发展趋势回答了记者和与会专业人士的提问,引起了许多听众的兴趣。现将会上的提问和Chris Rowen博士的观点,根据记录整理,供有兴趣的读者参阅。

问题1:您如何看待再6年之后的SoC设计,或者说SoC 设计6年后的技术发展趋势如何
Chris Rowen :我认为这个市场的大方向还是相当清楚的。看看市场层面的基本趋势,再看看技术层面的基本趋势,你就可以看到他们在哪里重合。就技术而言,你会发现摩尔定律(Moore’s Law)作为经济驱动力的事实。但是摩尔定律真正有趣的部分在于 “集成度(Density)持续提高”。每隔2年半或者3年,硅密度就要提高一倍,这意味着近一倍成本的降低,可以使射频产品中数字模块的集成度越来越高。这也意味着,各种系统都在尺寸大小(Scale)上变得越来越小。所以无论是电脑亦或消费电子设备,每一个系统的目的都是集成于同一块芯片。这就变得有趣起来。因为在过去,你可以生产通用(Generic)存储器,通用处理器,通用射频等等,然后组装在一块儿,建一个非常好的专用(Specific)系统。但是在今天,事情都颠倒过来了。现在希望把许多不同的功能线路集合到一块芯片上。当然在这个意义上,你还是得搞出一块专用芯片。但是挑战变大了,因为芯片本身需要更加专注于某一个特殊应用,而专用处理器、内部总线等等功能部件,也要变得更小更强更快!
但是,摩尔定律并没有在晶体管层面带来太多的功率改进。在过去,当东西变小了,功率自然就降低了,所以工程师也从来不考虑芯片架构(Architecture)问题。而现在,如果工程师想要优化功耗,首先就要优化架构。他得考虑如何才能更有效地完成这个计算譬如用更少的晶体管门或者运算周期,甚至在这个任务不运行的时候关闭掉相应子系统等等。总之,需要考虑智能化的问题(Intelligent)了。
举个例子,如果你想做个手机,就必须要注意区分不同的使用场景,譬如是听音乐、看YouTube视频、发短信、上网,或者煲电话这些都是完全不同的情景。你得更细心,更积极地考虑关掉所有暂时没用的子系统。因此对于芯片设计师(Chip Architect)或者系统设计师来说,这是最好的时代,因为有这么多的事儿可做。但对于一个从事晶体管层面的工作人员(Transistor Guy)而言,这可真是最坏的时代啊!一切都已经上升到系统或者应用的级别。而晶体管性能的提高,功耗的降低,与面积的缩小都遇到难以克服的困难。这就是技术领域正在发生的大事件。

问题2:未来几年市场方面的变化呢
Chris Rowen :说到市场。我认为最大的趋势是向移动化的方向发展,因为人们的生活方式已经彻底改变了。当你可以随身携带那么多的设备,就会希望能够持续地连接到互联网 上。这种影响不仅表现在设备上,还表现在无线基础设施,以及云计算上。而且经济层面的影响,将会随之变得非常,非常深远。因为你会看到,譬如在这种设备 (Chris拿起手里的iPhone开始演示)的层面,无线连接的带宽起码还要提高30倍。为了获得足够丰富的娱乐体验,我们或许需要几十甚至几百兆比特的带宽。在世界每一个地区,高端用户越来越多。中国就是一个鲜活的例子。不光如此。在印度、南美、非洲、加勒比海地区,人人都希望持续不断地连接到互联网。
所以,你必须很好地设定人们日益增长的期望值。现在具有10倍的宽带人,每个人都希望进一步能有30倍的宽带,因此就出现了300倍的宽带要求。而系统的每个层面都需要满足这种需求。对于无线基础设施制造商来说,他们的机会是巨大的。譬如华为。但是制造商是没可能赢得300倍收入的。他们有可能获得更多的收入, 但不是三百倍以上。因此,他们必须在得到大幅增加带宽的同时,大幅降低资金成本(Capital Cost)和经营成本(Operate Cost)。

问题3:那么接下来在SoC设计上会有什么变化
Chris Rowen:以无线基站为例。传统上它们是昂贵的。需要使用通用芯片、通用DSP、通用FPGA。可是今天,为了满足对带宽的要求,您需要更多的高度定制的SOC和芯片平台,软件的需求也上升很快。所以这将使集成度更高,每块芯片上集成更多的DSP,而每块DSP上嵌入更多的软件程序,甚至是软件内容的爆炸性发展。
有趣的是,所有网络基础设施(Network Infrastructure)的功耗都是巨大的。因此即使仅仅从绿色节能考虑,开发更加紧密集成的系统也是异常重要的。基站将明显变小,这意味着整个基站都可能缩小变成塔顶的一个小盒子。
当然在系统层面,你一旦降低了功耗,降低成本也就水到渠成了。所以这两者之间是一个非常良性的关系。关键是硅晶圆的集成。这也是Tensilica会如此迅速成长成为世界领先的DSP内核供应商之一的原因。
甚至可以看到这种变化体现在云计算上。因为现在你需要300倍的带宽,也就相应地对视频服务、视频压缩、互联网数据库搜索、社会网络如此等等, 都提出了更高的需求。而所有这些事情,真的都是很复杂的应用程序呢。
不过有趣的是,他们都是些并行的应用程序。这是个好消息。因为在计算机业已经发生的一件事情便是,单个微处理器的速度已经很难再提高了。Intel 在1990年,戏剧性地发现了单处理器性能呈指数增长的改善。但是他们也旋即发现当处理器频率达到约35到4GHz的时候,功率密度(Power Density)遇到了瓶颈。于是,他们开始尝试多核技术。
还好广大客户想做的事,基本都是天然就可以并行处理的。所以,你在做互联网数据库检索(Internet Database Search)的时候,确实可以设置多内核、多芯片,甚至多系统。因为你的查询请求通常将被发往多个地点。所以在互联网云计算的领域,运用多核的机会无比广阔。
但是有一个问题必需考虑,就是你如何在有效的MIPS指令内获得足够低的功耗。或者说,如何设计电池寿命最长的移动设备,和最可扩展的服务器之间取得平衡因为所有都和功耗有关,而并不是只和峰值的性能有关。

问题4:那么Tensilica如何来克服在功耗上的挑战和竞争对手比起来又有何特点
Chris Rowen: Tensilica赞同为特定的任务去优化处理器。优化流水线(Pipeline),优化接口,优化设计层面,然后把多个内核放在一起,以建 立一个多核系统。这种优化的能力将产生巨大的影响。我将谈一谈Turbo解码器的专用(Specialized)处理器。 Turbo是一种特殊的算法,可以从嘈杂的噪声中提取有用信息,在一个工作周期(cycle)内,这个解码器可以执行大约3万次RISK指令。是的,通用的压缩(Compression)处理器只能执行一次指令,而这个专用处理器可以执行3万次。当然这是一个极端的例子,只是想表明当你知道你的问题在哪里,你就可以做出很多令人难以置信的事情。并行,从而获得了难以置信的高效率。
同样的原则也适用于其它场合,适用于各种其它门类的专用DSP、无线接收器,适用于基带和音频的通用DSP,也适用于客户意欲进行视频处理或其它 图形压缩、安全 *** 作、网络协议处理,以及广泛应用于射频的深嵌入式控制(Deeply Embedded Control)。
Tensilica特别集中精力于那些能够专门优化的能力,以及确实能够方便使用的多核能力。因此,我们和传统的CPU厂家不同,譬如Intel、ARM、MIPS等等。他们都面临一个相同的物理问题,摩尔定律在给了他们更多的晶体管之后,却没有给他们更好的功率控制,对不对
他们很少去考虑并行的问题。而与之相反,我们在应用层面非常努力地工作,以期寻找到解决方案。在云计算那段,我们确实可以将任务分割成很多子任务,但是当我在这里玩游戏时(Chris又一次拿起手里iPhone开始演示),我真的被限制了。你看,一个手指只能玩一样东西。因此在应用处理器的层面,你真的无法得到啥好处。MIPS、ARM,甚至还有Intel,都面对着这样一个无法在当前硅科技下有效完成多任务的问题。而那是我们擅长的。
我们看到这个市场在迅速增长,去年的出货量增长了大约70%。然后我们会试图进入所有的DPP(Data-Plane Processor)领域,包括DSP、音频视频、安全,以及深嵌入式控制,这其实和应用处理器的范畴离得很远。所以啊,我们常常会发现自己和MIPS、 ARM或Intel出现在同一块芯片上。因为有这么多不同的处理器,在Date- Plane里又有这么多不同的任务,那些小而高效的处理器会有很多机会。
这种对于应用处理器或者接口的互补性,甚至于可以让应用处理器在执行类似于信号处理这种实时任务的时候,也完全关闭。或者比如多媒体应用,应用处理器当然可以去做,但是如果我们优化专用音频DSP的话,将获得4到5倍的效率。尺寸更小,单位时间内的吞吐量却更大。而且可以用如此多的音视频处理器够你选择。所以几乎任何时刻,系统设计师或者SoC设计师都可以通过区别应用场景的方式,来决定卸载(Off Load)某个处理器。
这也是为什么我认为我们可以在音频方面取得这么大的成功。当你正在设计一个手机,或者阅读显示器,或者机顶盒,或者数字电视,或者数码相机,你会说,啊,这里有一种场景需要我做大量音频的工作。于是,把那种卸载很自然地就被设计到基本构架里去了。
而且,我们可以为应用层面的处理器自动生成软硬件,尤其是基于音频和基带的非常全面的软件库(Software Libraries)。因此,不管是否是有经验的人员,在我们提供的资料库里都能找到他们所有需要的软硬件解决方案,以帮助他们最快地进入市场。集成音频、集成基带,或者其它各种功能。

问题5;那么Tensilica有什么具体的应用吗
Chris Rowen:移动电话是一个巨大的市场,一个可以满足之前所说带宽需求的市场。特别是当前正从3G向4G升级过度,大家都聚焦在LTE身 上。不仅因为LTE看上去很像是最后标准的胜者,也因为它非常像WiMax。我们已经能够提供参考设计,帮助客户建立他们自己定义的多核LTE手机,在市场中抢得先机。这只是一个我们进入市场领域的一个例子。
我们也在做一个很类似的数字电视解调器。因为有人希望既能适合移动应用,又能适合起居室应用。这里有个很大的问题,就是全世界在视频领域有好多不同的标准和概念,而每个人都真心希望拥有一块可以解决一切问题的视频芯片。我们准备来设计一块。其实应用一样的原理,就是找些DSP和专用核,优化最密集的任务,并充分利用我们最重要的能力――生成处理器的功率效率非常小,以及和世上最稳定的通用DSP一样易于编程的软件工具。昨天晚上客户还和我们说,DSP如此受人关注的最主要原因就是可编程。我们同样在努力使编译器更强大,使程序模型简单,使程序员更不 *** 心。我们还在微处理器的流水线设计上增强了视觉效果。
因此,我们拥有一个非常高效的处理器。但是效率(Efficiency)这个词值得商榷。传统意义上而言,效率就是指最少的门数、最小的功耗。但效率也应包括将产品推向市场的时间。需要多少工程师才能部署好这个系统每行代码的成本是多少每个工程师小时(Engineer Hour)所需要的工资除了硅片层面的效率以外,测量的效率同样也是重要的参数。我认为我们在这两面都需要注意。刚才讨论的那种架构,也特别适合在大量出货的领域。移动设备、客厅设备、数码相机,这些都是我们做得非常好的地方。
我们主要是在DPP方面拥有很强的知识积累,但同样的影响也已经开始在云计算上面出现。当然,现在云计算的变化还比较慢,部分原因是它并非对功耗如此敏感,但我认为整体上还是会有影响的。

问题6:您会在很多其它领域譬如数字电视和有线通信,使用这种结构么
Chris Rowen:当然。那些可以为不同应用优化处理器的架构是很重要的。而且我们也发现,即使在一个新的水平,很多需求也是相似的。因此同样的Hi-Fi工具,同样的音频DSP,既可部署在世界最好的智能手机上,也同样可以部署在最好的数字电视、蓝光(Blue Ray)影碟机上。因为它们都要求非常小而快。
同样,如果你看一下Altas LTE的内部架构,其主要构造模块BBE16或许是世界上最快的DSP核。而它同样也在数字电视解调子系统中使用。所以,我们看到在手机和客厅座机之间,在这两个媒体处理器和基带处理器之间,都有着共同的需求。

问题7; 您说芯片的整合将集中在射频、存储和数字电路。那么您觉得它们三者有可能整合成一个吗
Chris Rowen:嗯。如果你从半导体工艺(Semiconductor Process)技术的角度来看,我认为在晶体管和器件优化的层面将会发生变化。我们正与很多客户一起工作,通过采用更多的数字处理器,以简化射频电路。由于数字电路的生产成本可能降低的幅度更大,更快;我们一般希望多采用数字处理器,以尽量减少射频电路。因此,我们会越来越依赖于数字方面的有效解决方案。
同样的事情发生在存储器。人们偶尔也会使它们结合在一起,但不是一个简单的组合,内存的加工设施(Fabrication Facility)有特殊性。所以我相信,多芯片封装(Multi-Chip Packaging)将越来越重要。尤其当你将芯片(Die)一块又一块摞起来的时候。所以,你可以在数字芯片组上面摞存储芯片组,然后在上面再摞射频芯片组。这在成本上可能是最划算的。当然也可能把它们所有三个都放在一块硅片上。这取决于成本,以及开发的周期。
但是,我想我们还会坚持三套不同的加工工艺,然后依靠封装技术来整合在一起。
但你要知道还有个巨大的挑战,就是应用产品的缩小还是有一定的限制的,他需要适应人们的手指,和两只眼睛。决定于使用是否方便。我们在元器件层面的小,其实是对应于我们自己可以接受多小的屏幕和按钮。

问题8: 几天之前,Xilinx宣布嵌入ARM 的Cortex A9核。您觉得这是否是一种新趋势是否与Tensilica的 DPU形成竞争呢
Chris Rowen:这种往FPGA中嵌入处理器的工作,大概在10年前就开始了。大约在8年前,Altera也曾宣布他们嵌入ARM的处理器啊,(有人插话:没错!)
所以,这就跟任何一个系统想要找块芯片,或者将三块芯片装配在一块儿,没啥区别。当然,偶尔你也会碰巧搞出一块啥都囊括了的数字芯片。话说回来,FPGA有一个最重要的优点就是通用性。但祸福相倚,要是让它专注做一件事的话,也就不是那么有效率了。所以,如果你想真正有效地利用处理器,我估计你会情愿将处理器嵌入一个稍微稳定点的装置里,而不是FPGA。
我认为这是非常自然的一步。Xlinx以前也搭过Power PC,对吧这其实是一码事。它压根没有改变任何原有的架构,也没有在CPU和FPGA的功能之间取得任何逻辑上的融合(Merge)。
当然,FPGA是很容易配置的,而且价格也便宜。因此,他们占据了一部分的市场,尤其是那些量低而开发成本又低的市场。因此,我们在市面上看到大量的 FPGA设计。但是基于FPGA的设计总量是很小的。它其实是一个利基(Niche)市场。极端地说,即便有很多工程师在使用它,但几乎所有都是低产量的。
我的意思是,FPGA虽然很重要,但不是Tensilica公司关注的。我们专注于高产量的产品。当然偶尔也会重叠。譬如基站。以前有很多基站是采用Altera的储存方案的。慢慢地我们看到越来越多因为容量、成本和功耗的要求,已经从FPGA转向更加高集成度的芯片解决方案。

问题9: 以前我在IEEE的设计与测试(Design & Test)杂志上看到一篇您的谈话。您说,如果我们想要进入嵌入式系统设计的大规模并行领域,可配置的多核处理器SoC就有一些问题必须得到解决。几年前,您还提到过,Intel最大的问题是怎样为通用计算应用配置多核处理器。您现在还觉得多核处理器的配置有困难吗
Chris Rowen :对于多核应用而言,确实存在着一些困难。例如如何找到足够多的线程 (Thread)来运行。但它不是Intel单独遇到的问题。这是一个涉及到应用程序是如何被调用,以及在当下如此小型的设备上如何架构的问题。即便打开我自己的笔记本电脑,想看看到底有多少个线程准备在跑,它基本上都是很少的。通常情况下, *** 作系统、用户界面和应用程序开发等等所调用的方式,都完全没有最大化利用线程的数量。
所以,我认为你在基本的架构层面可以做的,就是提供更多的线程运行,并且充分地利用到并行。当然在应用层面也会有很多层级限制。你知道现在很方便就可以搞个四核,八核,十六核的,但是在PC这一端,相对于服务器,只有相对较少的条件可以让我们找到这些线程。问题在于 *** 作系统和应用程序需要逐步重组 (Restructuring)。
另一个同样重要的现象是,确定哪些任务可以被放进数据层(Data Plane)。让我们来想想哪些东西通常是可以被放进数据处理器的,譬如在无线信道这类的通讯子系统,譬如存储系统,比如你怎么分发数据,或者你知道的,安全冗余,也可能是针对压缩流(Packing Stream)的特殊网络处理器,它可以是视频也可以是音频。这些东西其实是更本质(Inherently)的并行处理。
所以,我觉得这里有两种并行重组。其一是,提供更多的线程应用。另一种是为了维持整体系统中卸载(Off Loading)并行部分的最大值,并让之进入数据层。实际上我认为,在数据层提取并行是更容易 *** 作的。因此,在数据层有效使用多核的数量,远大于单单在应用层面使用的多核。从这一方面考虑我们认为我们是走在康庄大道上。关注于数据层,可以使我们在多核方面的成长速度大大超过那些只盯着应用层面的同行。

问题10: 那么在手机上就不是个问题了
Chris Rowen:可以这么说。这变得相当容易,让我们举一个LTE基带(Baseband)的例子吧。我们的Atlas平台可以设计八核,这取决于你想怎么用。DoCoMo和他的合作伙伴,NEC、富士通以及松下,已经宣布并且详细描述了他们LTE基带架构。第一代是8到 10核。另一个叫做Blue Wonder Communication的合作伙伴也推出了他们的8至10核的LTE基带。因此,现在就有三种不同的LTE基带,而这三种都使用了8个核或更多。在这个层 面上是可以有大量的并行解决方案的。
再看看下一代的LTE,大概有六点性能方面的因素需要考虑。其中一些是单核怎样可以更快,但更大部分是和多核有关。所以我们很容易找到那些有效应用20核甚至更多核于单一功能譬如基带的案例。我觉得在数据层和应用层上,多核是有完全不同的机会的。

问题11;最后一个问题。您当年在斯坦福参与奠基了RISC 架构,后来也曾是MIPS的共同创始人。那么,请问您如何看待RISC架构的未来依旧是ARM和MIPS之间的战争,抑或会发生一些新的大事件
Chris Rowen:从本质上看,这场关于CISC和RISC架构的争论,其实仍不过是通用 (General Purpose)架构之间的竞争罢了。RISC赢得了一定胜利,占领了一些领域。但是遵循摩尔定律的发展,可以轻松的增加晶体管数目。一个RISC解码器可能要一万门,而CISC解码器需要五万门。其实也差不多了多少。
不过我觉得除了通用架构之间的竞争以外,还有一场更加深远的革命。我们现在来比较通用架构和大量的特殊用途的(Special Purpose)架构,怎么样几乎任何时候你都可以说,如果一个产品是围绕某种特定的需求来设计,那么特殊用途架构肯定会胜出。RISC贬了CISC一段时间,因为它的效率可以高出2倍以上。那么为具体应用特殊定制的架构,就比所有通用架构的效率高出5至10倍以上。
因此,这个世界不能再简单分成我的通用架构,和你的通用架构。当然对于那些非常分散(Defused)并且普适(Generic)的应用程序,就好比在笔记本上用的那些,我们还是需要通用架构的。因为一会儿你要看视频,一会儿又要运行Word或打游戏,或者运行Excel工作表。是非常多样的。所以你需要一个德智体全面发展的处理器。不能太特别专门化。
但是,你不得不面对一个世界,那里有各种各样不同的任务,而每样任务都是独特的。而且更为重要的是,当你在芯片上能够集成更多的片上系统时,你越会发觉有足够多的处理器适用于各种特定的应用子系统的优越性。
因此对于我来说,计算的未来不是产生新的通用架构,而是特殊用途架构的集合。譬如一个音频子系统、视频子系统,一个基带子系统、存储子系统,还有应用处理器子系统。其中只有一个需要通用的结构(Construction),其它的都将是特殊的架构。在科学上,摩尔定律带来多核,多核又将带来特殊架构的解决方案。异型多核(Heterogenic Multi-Core)就是一种新架构。而且我觉得会成为主流。Intel、ARM、MIPS这些公司当然还会有很大的市场,但只限于应用处理器领域。其实从科学发展观察,通用目的(General Purpose)最终总是会变成某一个特殊目的(Specific Purpose)的。
(根据记录整理,略有删节)

1、首先出现这种情况的话,建议先检查下服务器安全性,检查是不是被设置植入了自动重启木马,从而导致的自动重启,如果是这种情况,找出删除加固服务器安全就可以了;
2、第二步是排查,看是不是服务器本身设置了,安排重启回收服务器系统资源的时候,导致资源不足从而引起的重启;
3、接下来查看是不是服务器安装了必要的组件,重新安装组件的时候,会自动重启安装,组件的安装才能生效;
4、最后建议检查一下系统检查更新是否开启,如果系统自动更新的话,重启才会生效,更新完成会自动重启的。,所以面对这种情况,可以把系统更新关闭,设置成手动去检查安装更新,有选择性的点击安装更新重启!
5、如果以上问题都排除了,不是这类问题的话,很大可能是出自服务器硬件,这时候,需要机房技术彻底解决的话,需要花时间,排除具体什么硬件问题!

soc有多个pcie rc,bus number分配方法如下
为支持ID路由,每个PCIE设备(端点和交换开关)中都应设置有贮存设备总线号和设备号的寄存器,复位时,该寄存器清0,每当设备在它的原级链路上检测到一个
TypeO配置写事务包时,它就从该TLP头标中的第8~9字节“捕获”它自己的总线号和设备号,并贮存入上述总线号和设备号寄存器。所以说在接收至少一个Tvpe0配置写事务包以前,设备不会响应除配置周期以外的任何事务。PCIE协议中没有定义贮存总线号和设备号信息的配苦空间单元,只是规定了它必须做这件事。

我刚刚做了个winSock与采集器的通讯和继电器板卡的通讯,皆成功注意点:IP地址,端口,协议这三样要准确,发送有二种方式:字符串,二进制串,接收也要对应的有的通讯卡,返回中包含发送的内容是正常的,也有的板卡无返回的


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/10661087.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-05-10
下一篇 2023-05-10

发表评论

登录后才能评论

评论列表(0条)

保存