数字电子石英钟设计

数字电子石英钟设计,第1张

数字电子钟的设计(由数字IC构成)一、设计目的
1 熟悉集成电路的引脚安排。
2 掌握各芯片的逻辑功能及使用方法。
3 了解面包板结构及其接线方法。
4 了解数字钟的组成及工作原理。
5 熟悉数字钟的设计与制作。二、设计要求
1.设计指标时间以24小时为一个周期;显示时、分、秒;有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时;为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。2.设计要求画出电路原理图(或仿真电路图);元器件及参数选择;电路仿真与调试;PCB文件生成与打印输出。
3.制作要求 自行装配和调试,并能发现问题和解决问题。
4.编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会。
三、设计原理及其框图
1.数字钟的构成
数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图 3-1所示为数字钟的一般构成框图。
图3-1 数字钟的组成框图
⑴晶体振荡器电路
晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
⑵分频器电路
分频器电路将32768Hz的高频方波信号经32768( )次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。
⑶时间计数器电路
时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。
⑷译码驱动电路
译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
⑸数码管
数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。
2.数字钟的工作原理
1)晶体振荡器电路
晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。
图3-2所示电路通过CMOS非门构成的输出为方波的数字式晶体振荡电路,这个电路中,CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电 阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。
晶体XTAL的频率选为32768HZ。该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。
从有关手册中,可查得C1、C2均为30pF。当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。
由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ。较高的反馈电阻有利于提高振荡频率的稳定性。
非门电路可选74HC00。
图3-2 COMS晶体振荡器
2)分频器电路
通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。
通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768(215),即实现该分频功能的计数器相当于15极2进制计数器。常用的2进制计数器有74HC393等。
本实验中采用CD4060来构成分频电路。CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。
CD4060计数为14级2进制计数器,可以将32768HZ的信号分频为2HZ,其内部框图如图3-3所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能。
图3-3 CD4046内部框图
3)时间计数单元
时间计数单元有时计数、分计数和秒计数等几个部分。
时计数单元一般为12进制计数器计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码。
一般采用10进制计数器74HC390来实现时间计数单元的计数功能。为减少器件使用数量,可选74HC390,其内部逻辑框图如图 23所示。该器件为双2—5-10异步计数器,并且每一计数器均提供一个异步清零端(高电平有效)。
图3-4 74HC390(1/2)内部逻辑框图
秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可。CPA(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连。
秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的电路连接方法如图3-5所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连。
图3-5 10进制——6进制计数器转换电路
分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。
时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换。利用1片74HC390实现12进制计数功能的电路如图3-6所示。
另外,图3-6所示电路中,尚余-2进制计数单元,正好可作为分频器2HZ输出信号转化为1HZ信号之用。
图3-6 12进制计数器电路
4)译码驱动及显示单元
计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用CD4511作为显示译码电路,选用LED数码管作为显示单元电路。
5)校时电源电路
当重新接通电源或走时出现误差时都需要对时间进行校正。通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。
根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。图3-7所示即为带有基本RS触发器的校时电路,
图3-7 带有消抖动电路的校正电路
6)整点报时电路
一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。
根据要求,电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。报时电路选74HC30,选蜂鸣器为电声器件。
四、元器件
1.实验中所需的器材:5V电源。面包板1块。示波器。万用表。镊子1把。剪刀1把。网络线2米/人。
共阴八段数码管6个。CD4511集成块6块。CD4060集成块1块。74HC390集成块3块。
74HC51集成块1块。74HC00集成块5块。74HC30集成块1块。10MΩ电阻5个。
500Ω电阻14个。30p电容2个。32768k时钟晶体1个。蜂鸣器。
2.芯片内部结构图及引脚图
图4-1 7400 四2输入与非门 图4-2 CD4511BCD七段译码/驱动器
图4-3 CD4060BD 图4-4 74HC390D
图4-5 74HC51D 图4-6 74HC30
3.面包板内部结构图
面包板右边一列上五组竖的相通,下五组竖的相通,面包板的左边上下分四组,每组中X、Y列(0-15相通,16-40相通,41-55相通,ABCDE相通,FGHIJ相通,E和F之间不相通。
五、个功能块电路图
1. 一个CD4511和一个LED数码管连接成一个CD4511驱动电路,数码管可从0---9显示,以次来检查数码管的好坏,见附图5-1。图5-1 4511驱动电路2. 利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00连接成一个十进制计数器,电路在晶振的作用下数码管从0—9显示,见附图5-2。
图5-2 74390十进制计数器3. 利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00和一个晶振连接成一个六进制计数器,数码管从0—6显示,见附图5-3。图5-3 74390六进制计数器4. 利用一个六进制电路和一个十进制连接成一个六十进制电路,电路可从0—59显示,见附图5-4。
图5-4 六十进制电路5. 利用两个六十进制的电路合成一个双六十进制电路,两个六十进制之间有进位,见附图5-5。
图5-5 双六十进制电路6. 利用CD4060、电阻及晶振连接成一个分频——晶振电路,见附图5-6。
图5-6 分频—晶振电路7. 利用74HC51D和74HC00及电阻连接成一个校时电路,见附图5-7。
图5-7 校时电路
8. 利用74HC30和蜂鸣器连接成整点报时电路。见附图5-8。
图5-8 整点报时电路
9. 利用两个六十进制和一个十二进制连接成一个时、分、秒都会进位的电路总图,见附图5-9。
用ttl集成电路构成的“二十四小时数字钟”,具有校时和整点报时功能,555定时器接成多谐振荡器产生秒脉冲信号,调节rw即可校准秒信告,计数器7416 i、ii组成60进制“秒”计数电路,iii、iv组成“分”计数电路,v、vi组成24进制“时”计数电路,校时电路由与非门7400构成的双稳态触发路构成,可消除开关抖动的影响,整点报时 电路 由与非门7430和d触发器7474构成 ,1秒钟响一声、直至整点为止。
有关用晶振电路产生秒脉冲电路的“12小时数字钟,请看下回贴 数字电子钟参考电路(24小时数字钟)
[upload=jpg,32583,450,915,822]/58474-1-2-9489
上面的电路图是用ttl集成电路构成的“二十四小时数字钟”,具有校时和整点报时功能,555定时器接成多谐振荡器产生秒脉冲信号,调节rw即可校准秒信告,计数器7416 i、ii组成60进制“秒”计数电路,iii、iv组成“分”计数电路,v、vi组成24进制“时”计数电路,校时电路由与非门7400构成的双稳态触发路构成,可消除开关抖动的影响,整点报时 电路 由与非门7430和d触发器7474构成 ,1秒钟响一声、直至整点为止。
有关用晶振电路产生秒脉冲电路的“12小时数字钟,请看下回贴图。

目录
1 设计目的 3
2 设计要求指标 3
21 基本功能 3
22 扩展功能 4
3.方案论证与比较 4
4 总体框图设计 4
5 电路原理分析 4
51数字钟的构成 4
511 分频器电路 5
512 时间计数器电路 5
513分频器电路 6
514振荡器电路 6
515数字时钟的计数显示电路 6
52 校时电路 7
53 整点报时电路 8
6系统仿真与调试 8
7结论 8
参考文献 9
实验作品附图 10
数字钟
摘要:
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。
从有利于学习的角度考虑,这里主要介绍以中小规模集成电路设计数字钟的方法。
经过了数字电路设计这门课程的系统学习,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,我们已经具备了设计小规模集成电路的能力,借由本次设计的机会,充分将所学的知识运用到实际中去。
本次课程设计要求设计一个数字钟,基本要求为数字钟的时间周期为24小时,数字钟显示时、分、秒,数字钟的时间基准一秒对应现实生活中的时钟的一秒。供扩展的方面涉及到定时自动报警、按时自动打铃、定时广播、定时启闭路灯等。因此,研究数字钟及扩大其应用,有着非常现实的意义。
1 设计目的
1.掌握数字钟的设计、组装与调试方法。
2.熟悉集成元器件的选择和集成电路芯片的逻辑功能及使用方法。
3.掌握面包板结构及其接线方法
4.熟悉仿真软件的使用。
2 设计要求及指标
2.1基本功能
1)时钟显示功能,能够正确显示“时”、“分”、“秒”。
2)具有快速校准时、分、秒的功能。
3)用555定时器与RC组成的多谐振荡器产生一个标准频率(1Hz)的方波脉冲信号。
2.2扩展功能
1)用晶体振荡器产生一个标准频率(1Hz)的脉冲信号。
2)具有整点报时的功能。
3)具有闹钟的功能。
4)……
3、方案论证与比较
本设计方案使用555多谐振荡器来产生1HZ的信号。通过改变相应的电阻电容值可使频率微调,不必使用分频器来对高频信号进行分频使电路繁复。虽然此振荡器没有石英晶体稳定度和精确性高,由于设计方便, *** 作简单,成为了设计时的首选,但是由于与实验中使用的555芯片产生的脉冲相比较,利用晶振产生的脉冲信号更加的稳定,同过电压表的测量能很好的观察到这一点,同时在显示上能够更加接进预定的值,受外界环境的干扰较少,一定程度上优于使用555芯片产生信号方式。我们组依然同时设计了555和晶振两个信号产生电路。(本实验报告中着重按照原方案设计的555电路进行说明)
4、 系统设计框图
数字式计时器一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。在本设计中555振荡器及其相应外部电路组成标准秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累计的结果以‘时’、‘分’、‘秒’的数字显示出来。‘时’显示由二十四进制计数器、译码器、显示器构成,‘分’、‘秒’显示分别由六十进制计数器、译码器、显示器构成。其原理框图如图11所示。
5、电路原理分析
51数字钟的构成
数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定在此使用555振荡器组成1Hz的信号。
数字钟原理框图(11)
5.11振荡器电路
555定时器组成的振荡器电路给数字钟提供一个频率为1Hz的方波信号。其中OUT为输出。

512时间计数器电路
时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器
513分频器电路
通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。
通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768( ),即实现该分频功能的计数器相当于15级2进制计数器。
514振荡器电路
利用555定时器组成的多谐振荡器接通电源后,电容C1被充电,当电压上升到一定数值时里面集成的三极管导通,然后通过电阻和三极管放电,不断的充放电从而产生一定周期的脉冲,通过改变电路上器件的值可以微调脉冲周期。
515数字时钟的计数显示控制
在设计中,我们使用的是74160十进制计数器,来实现计数的功能,实验中主要用到了160的置数清零功能(特点:消耗一个时钟脉冲),清零功能(特点:不耗时钟脉冲),在上级160控制下级160时候通过组合电路(主要利用与非门)实现,在连接电路的时候要注意并且强调使能端的连接,其将影响到整一个电路的是否工作。

电路的控制原理如下:
秒钟由个位向十位进位:0000—0001—0010—0011—0100—0101—0110—0111—1000—1001实现个位的计数,采用的是置数的方式(利用RCO端口),当电路计数到1001的时候采用一个二输入与非门接上级输入的高位和低位输出作为下级的信号,实现了秒区的个位和十位的显示与控制。设计中注意到接的是一个与非门而不是与门,目标在产生一个时钟脉冲。实现正确的显示。
由秒区向分区的显示控制:
基本原理同上,在秒区十位向时区个位显示的时:0000—0001—0010—0011—0100—0101产生了六个脉冲的时候向下级输出一个时钟脉冲,利用的还是与非门,目标仍是实现正确的计时显示。
分区的显示及整体电路反馈清零:
当数值显示达到:23:59的时候要实现清零的工作,采用CLR清零的方式反馈清零。具体设计接出控制端的9,5,3,2用十六进制表示后高电平对应引脚接与非,将非门输出信号的值反馈给各个160芯片的清零端(CLR)既可以实现清零了。
52 校时功能的实现
当重新接通电源或走时出现误差时都需要对时间进行校正通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可
根据要求,数字钟应具有分校正功能,因此,应截断分个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中
在实验实现过程中使用的是通过开关(普通开关)来实现高低电平的切换,手动赋予需要的高低电平来实现脉冲的供给,将脉冲提供到所需要的输入(CLK)端口,实现校时,仿真过程中能够正常校时并且在校时的时候达到了预定的效果;而在我们进入实际电路连接的时候,利用开关(手控导线点触实现)来实现校时再不像仿真那样的精确了,原因分析是由于使用的是普通的开关同时利用的是手动的对CLK端口赋予脉冲信号,在实现手动生成脉冲信号的过程中产生了扰动,即相当于产生了多个的脉冲信号对需要的数码管进行校时,如此,并没有达到仿真的精确效果,但是在实验中通过改进电路的校时方式,不是用手触开关产生脉冲信号(如若需用手触则需要使用一个锁存器实现去抖动,才能够在脉冲生成时候不产生干扰的脉冲,实现正常的校时),而是使用信号发生器实现信号的提供,对需要校时的数码管在相对应的CLK端口提供脉冲信号实现校时,利用此方式实现校时则比手触开关方式效果要好。
53 报时的实现
报时功能的实现原理较为简单,即对所需要报时的输出量进行控制,并对控制产生的信号作为LED显示的信号源,电路连接中要注意到的是在实现LED显示的时候最好连接上一个保护电阻对LED灯器到保护的作用。例如我们的校时时间是 23:59,0010—0011—0101—1001;利用相应的门电路实现满足端口输出是上述条件的时候进行报时即可。
6、系统仿真与调试
7、结论
学贵以致用,通过几天的数字钟设计过程,将从书本上学到的知识应用于实践,学会了初步的电子电路仿真设计,虽然过程中遇到了一些困难,但是在解决这些问题的过程无疑也是对自己自身专业素质的一种提高。当最终调试成功的时候也是对自己的一种肯定。在当前金融危机大的社会背景下,能够增加自身砝码的不仅仅是一纸文凭证书,更为重要的是毕业生是否能够适应社会大潮流的需要,契合企业的要求即又较硬的动手 *** 作及设计能力。此次的设计作业不仅增强了自己在专业设计方面的信心,鼓舞了自己,更是一次兴趣的培养,为自己以后的学习方向的明确了重点。
另外在这次实验中我们遇到了不少的问题针对不同的问题我们采取不同的解决方法,最终一一解决设计中遇到的问题。还有在实验设计中我们曾遇到多块芯片以及数码管损坏的情况造成了数字钟的显示没有达到预期的效果,或是根本不显示,通过错误排除最终确认是元件问题,并向老师咨询跟换元件最终的到解决。在我们曾经遇到不懂的问题时,利用网上的资源,搜索查找得到需要的信息。

数字电子钟的设计
数字电子钟的设计方法有多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等等。这些方法都各有特点,其中,利用单片机实现的电子钟具有编程灵活,便于电子钟功能的扩充,即可用该电子钟发出各种控制信号,精确度高等特点。
所设计的电子钟有以下功能:
1. 24小时制时间显示。
2. 可随时进行时间校对。
3. 整点报时。
4. 闹钟功能。
为了节约制作硬件的开支,我们利用单片机开发机上的硬件资源,开发了电脑数字钟的软件。该数字钟由8031单片机控制,采用24小时制计时,利用开发机上的六个LED显示器来显示时、分和秒,使用P1端口中的P10端口线实现整点报时功能;使用P3端口的P30实现闹钟功能。其硬件原理图如图一所示。
图中的开关K4用于闹钟控制,当K4=1时(开关处于ON的位置),打开闹钟,使之在预定时间起闹;当K1=0时(开关处于OFF的位置),则关闭闹钟,使之不闹。另外,在闹钟响起时,K4也可作为止闹开关使用,若不止闹,则闹一分钟。闹钟信号用发光二极管来模拟。
整点报时信号也是用发光二极管来模拟的。当整点时,P10口所接的发光二极管点亮一分钟。
电脑数字钟的秒信号是利用8031单片机定时器T0产生的。由于开发机的晶振频率为6MHz,使得T0的最大定时时间远远小于1秒,因此,在设计时采用了硬件计数与软件计数相结合的方式,即通过T0产生一定的定时时间,然后再利用软件进行计数,从而产生1秒钟的时间信号。在设计中,定时器T0采用了中断方式。
数字电子钟的设计方法有多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等等。这些方法都各有特点,其中,利用单片机实现的电子钟具有编程灵活,便于电子钟功能的扩充,即可用该电子钟发出各种控制信号,精确度高等特点。
所设计的电子钟有以下功能:
1. 24小时制时间显示。
2. 可随时进行时间校对。
3. 整点报时。
4. 闹钟功能。
为了节约制作硬件的开支,我们利用单片机开发机上的硬件资源,开发了电脑数字钟的软件。该数字钟由8031单片机控制,采用24小时制计时,利用开发机上的六个LED显示器来显示时、分和秒,使用P1端口中的P10端口线实现整点报时功能;使用P3端口的P30实现闹钟功能。其硬件原理图如图一所示。
图中的开关K4用于闹钟控制,当K4=1时(开关处于ON的位置),打开闹钟,使之在预定时间起闹;当K1=0时(开关处于OFF的位置),则关闭闹钟,使之不闹。另外,在闹钟响起时,K4也可作为止闹开关使用,若不止闹,则闹一分钟。闹钟信号用发光二极管来模拟。
整点报时信号也是用发光二极管来模拟的。当整点时,P10口所接的发光二极管点亮一分钟。
电脑数字钟的秒信号是利用8031单片机定时器T0产生的。由于开发机的晶振频率为6MHz,使得T0的最大定时时间远远小于1秒,因此,在设计时采用了硬件计数与软件计数相结合的方式,即通过T0产生一定的定时时间,然后再利用软件进行计数,从而产生1秒钟的时间信号。在设计中,定时器T0采用了中断方式。

基于AT89c51的简易时钟设计
摘要:本电子钟是采用电子电路实现对时、分进行数字显示的计时装置,广泛的应用于生活中。电子时钟主要是利用电子技术奖时钟电子化、数字化,拥有时间精确、体积小、界面友好、课扩展性能强等特点,被广泛应用于生活和工作当中。当今市场上的电子时钟品类繁多,外形小巧别致。电子时钟数字化了时间显示。在此基础上,人们可以根据不同场合的要求,在时钟上加置其他功能,
本设计由以下几个部件组成:单片机AT89C51、四个八段码共阴极数码管显示、四个微动按钮等其它组件。在启动后开始从00时00分显示。可以手动校准时间,秒使用两个发光二极管的闪烁来提现,本设计设计简单易于实现。
关键词:AT89C51、倒计时。LED
Simple clock design based on AT89c51
Abstract: This clock is the use of electronic circuits to achieve the hours, minutes, digital display of timing devices, widely used in life Electronic clock main prize is the use of electronic technology electronic clock, digital, with a time accurate, small, friendly interface, expanded its performance and other characteristics, are widely used in life and on the job The market today, many kinds of electronic clock, compact and chic Digital electronic time clock display On this basis, one can according to the requirements of different occasions, plus set the clock on the other features
This design consists of the following components: microcontroller AT89C51, four eight out code common cathode LED display, four buttons, and other micro-components After starting 00 points from 00 shows You can manually calibrate the time, in seconds using two LEDs blink to mention is, the design is simple design easy to implement
Keywords: AT89C51, countdown LED
目 录
摘要 1
关键词 1
Simple clock design based on AT89c51 2
目录 3
第一章引言 4
11 时钟的概述 5
第二章电路工作原理分析 5
21 系统的硬件构成及功能 5
22硬件连接方式 6
第三章: 芯片介绍 6
31 MCS- 51介绍 6
34 LED数码管显示 10
341 LED数码管介绍 10
342 LED数码管编码方式 11
343 LED数码管显示方式和典型应用电路 12
第四章 部分电路介绍 13
41单片机的最小应用系统 13
411 单片机的时钟电路 13
412 复位电路和复位状态 14
413总线结构 17
42此设计显示电路 18
44看门狗电路 19
45 按键模块 19
第五章程序设计 19
第六章 原理图和印制板图的设计 20
( 一 ) 原 理 图 的 设 计 和 网 络 表 的 生 成 20
(二)PCB的制作和设计 21
第七章 原理图的protues仿真 23
71PROTUES介绍 23
72原理图仿真步骤 26
总 结 27
谢 辞 28
参考资料及文献 29
附录一:原理图 30
附录二:PCB 31
附录三 仿真 32
附录四:程序清单 33
第一章引言
数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。尽管目前市场上已有现成的数字钟集成电路芯片出售,价格便宜、使用也方便,但鉴于单片机的定时器功能也可以完成数字钟电路的设计,因此进行数字钟的设计是必要的。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路,写程序、调试电路的能力。
单片机具有体积小、功能强可靠性高、价格低廉等一系列优点,不仅已成为工业测控领域普遍采用的智能化控制工具,而且已渗入到人们工作和和生活的各个角落,有力地推动了各行业的技术改造和产品的更新换代,应用前景广阔。
11 时钟的概述
20世纪末,电子技术获得了飞速的发展。在其推动下,电子产品几乎渗透到了社会的各个领域,有力的推动和提高了社会生产力的发展和信息化程度,同时也使现代电子产品性能进一步提升,产品更新换代的节奏也越来越快。
电子钟是采用电子电路实现对时、分、秒进行数字显示的计时装置,广泛的应用于生活中。电子时钟主要是利用电子技术奖时钟电子化、数字化,拥有时间精确、体积小、界面友好、课扩展性能强等特点,被广泛应用于生活和工作当中。当今市场上的电子时钟品类繁多,外形小巧别致。电子时钟数字化了时间显示。在此基础上,人们可以根据不同场合的要求,在时钟上加置其他功能,比如定时闹钟,万年历,环境温度,温度检测,环境空气质量检测,USB扩展功能等。
本设计电子时钟主要功能为:具有时间显示和手动校对功能,24小时制。
本设计任务“
1:用4位LED数码管实时显示时钟计时功能;最小显示时间为00时00分,最大显示时间为23时59分;
2:能方便的校准小时和分钟。
3:了解单片机的基础知识;
4;掌握proteus的基本原理和使用方法;
5:掌握数码管和LED的显示的方法;
6:掌握单片机定时器的基本原理;
7:掌握单片机定时器的基本原理;
8:掌握绘图软件Proell99se的使用方法;
9:绘制程序流程图和编写出程序;
10:画出电路原理图并仿真运行

第二章电路工作原理分析
21 系统的硬件构成及功能
本设计由以下几个部件组成:单片机AT89C51、四个八段码共阴极数码管显示、四个微动按钮等其它组件。在启动后开始从00时00分显示。可以手动校准时间,秒使用两个发光二极管的闪烁来提现,本设计设计简单易于实现。
图1 99秒计时器系统原理框图
22硬件连接方式
数码管使用动态显示,P0口作为四个八位共阴数码管的段选输出端,为提高单片机输出能力 P0口作为输出口接了8个47K的电阻作为上拉电阻;P2口是四个八位共阴数码管和两个发光二极管的位选端,显示是事位和分位,四个微动开关做的按键分别连P10,P11,P12,P13完成时和分的加减调整。硬件连接如下:
41单片机的最小应用系统
单片计算机是一个最小的应用系统,但由于应用系统中有一些功能器件无法集成到芯片内部,如晶振、复位电路等,需要在片外加接相应的电路。对于片内无程序存储器的单片机,还应该配置片外程序存储器。
411 单片机的时钟电路
MCS-51单片机内部的振荡电路是一个高增益反相放大器,引线XTAL1和XTAL2分别是放大器的输入端和输出端。单片机内部虽然有振荡电路,但要形成时钟,外部还需附加电路。MCS-51单片机的时钟产生方式有两种。
(1) 内部时钟方式
利用其内部的振荡电路在XTAL1和XTAL2引线上外接定时元件,内部振荡电路便产生自激振荡,用示波器可以观察到XTAL2输出的时钟信号。最常用的是在XTAL1和XTAL2之间连接晶体振荡器与电容构成稳定的自激震荡器,如图3-1所示。
晶体可在12~12MHz之间选择。MCS-51单片机在通常应用情况下,使用振荡频率为6MHz的石英晶体,而12Hz频率的晶体主要是在高速串行通信情况下才使用。C1和C2可在20~100pF之间取值,一般取30pF左右。
(2) 外部时钟方式
在由单片机组成的系统中,为了各单片机之间时钟信号的同步,应当引入惟一的合用外部振荡脉冲作为各单自片机的时钟。外部时钟方式中是把外部振荡信号源直接接入XTAL1或XTAL2。由于HMOS和CHMOS单片机外部时钟进入的引线不同,其外部振荡信号源接入的方式也不同。HMOS型单片机由XTAL2进入,外部振荡信号接至XTAL2,而内部反相放大器的输入端XTAL1应接地,如图3-2所示。由于XTAL2端的逻辑电平不是TTL的,故还要接一上接电阻。CHMOS型单片机由XTAL1进入,外部振荡信号接至XTAL1,而XTAL2可不接地,如图3-3所示。
图3-1内部时钟电路 图3-2HMOS型外部时钟电路 图3-3外部时钟电路
412 复位电路和复位状态
MCS-51单片机的复位是靠外部电路实现的。MCS-51单片机工作后,只要在它的RST引线上加载10ms以上的高电平,单片机就能够有效地复位。
(1) 复位电路
MCS-51单片机通常采用上电自动复位和按键复位两种方式。最简单的复位电路如图3-4所示。上电瞬间,RC电路充电,RST引线端出现正脉冲,只要RST端保持10ms以上的高电平,就能使单片机有效地复位。
图 3-4 简单的复位电路
在实际的应用系统中,为了保证单片机可靠地工作,常采用“看门狗”监视单片机的运行。采用MAX690的复位电路如图3-5所示,该电路具有上电复位和监视MCS-51单片机的P33的输出功能。一旦P33不输出高低电平交替变化的脉冲,MAX690就会自动产生一复位信号使单片机复位。
图3-5 MAX690组成的复位电路
(2) 复位状态
复位电路的作用是使单片机执行复位 *** 作。复位 *** 作主要是把PC初始化为0000H,使单片机从程序存储器的0000H单元开始执行程序。程序存储器的0003H单元即MCS-51单片机的外部中断0的中断处理程序的入口地址。留出的0000H~0002H 3个单元地址,仅能够放置一条转移指令,因此,MCS-51单片机的主程序的第一条指令通常情况下是一条转移指令。
除PC之外,复位还对其他一些特殊功能的寄存器有影响,它们的复位状态如表3-6所示。
由表3-6可知,除SP=07H,P0~P3 4个锁存器均为FFH外,其他所有的寄存器均为0。此外,单片机的复位不影响片内RAM的状态(包括通用寄存器Rn)。
表3-6 寄存器的复位状态
寄存器 复位状态 寄存器 复位状态
PC 0000H TMOD 00H
ACC 00H TCON OOH
PSW 00H TL0 00H
SP 07H TH0 00H
DPTR 0000H TL1 00H
P0~P3 FFH TH1 00H
IP Xxx00000B SCON 00H
IE 0xx00000B PCON 0xx00000B
P0、P1、P2、P3共有4个8位并行I/O口,它们引线为:P00~P07、P10~P17、
P20~P27、P30~P37,共32条引线。这32条引线可以全部用做I/O线,也可将其中部分用做单片机的片外总线。
① 控制线
A、ALE地址锁存允许
当单片机访问外部存储器时,输出信号ALE用于锁存P0口输出的低8位地址A7~A0。ALE的输出频率为时钟振荡频率的1/6。
B、 程序存储器选择
=0,单片机只访问外部程序存储器。对内部无程序存储器的单片机8031, 必须接地。 =1,单片机访问内部程序存储器,若地址超过内部程序存储器的范围,单片机将自动访问外部程序存储器。对内部有程序存储器的单片机, 应接高电平。
C、 片外程序存储器的选通信号。此信号为读外部程序存储器的选通信号。
D、RST复位信号输入
② 电源及时钟
VSS地端接地线,VCC电源端接+5V,XTAL1和XTAL2接晶振或外部振荡信号源。
图3-7 片外3总线结构
413总线结构
单片机的引线除了电源、复位、时钟输入、用户I/O口外,其余引线都是为实现系统扩展则设置的,这些引线构成了单片机外部的3总线形式,如图3-7所示。
① 地址总线
地址总线宽度为16位,由P0口经地址锁存器提供低8位地址(A7~A0),P2口直接提供高8位地址(A15~A8)。
由口的位结构可知,MCS-51单片机在进行外部寻址时,P0口的8根引绠低8位地址和8位数据的复用线。P0口首先将低8位的地址发送出去,然后再传送数据,因此要用锁存器将先送出的低8位地址锁存。MCS-51常用74LS373或8282做地址锁存器。
② 数据总线
数据总线宽度为8位,由P0口提供。
③ 控制总线
MCS-51用于外部扩展的控制总线除了它自身引出的控制线RES、 、ALE、 外,还有由P3口的第二功能引线:外部中断0和外部中断1输入线 和 ,以及外部RAM或I/O端口的读选通和写选通信号 和 。
34 MCS—51单片机的最小应用系统
构成最小应 MCS—51单片机的最小应用系统
用系统时只要将单片机接上外部的晶体或时钟电路和复位电路即可,如图3-8所示,这样构成的最小系统简单可靠,其特点是没有外部扩展,有可供用户选用的大量I/O线。
42此设计显示电路
数码管使用动态显示,P0口作为四个八位共阴数码管的段选输出端,因为P0口作为输出口接了8个47K的电阻作为上拉电阻;P2口四个八位共阴数码管的位选端,显示是两位时间的事时位和两位的分位。
43电源电路
由于该系统需要稳定的5 V电源,因此设计时必须采用能满足电压、电流和稳定性要求的电源。该电源采用三端集成稳压器LM7805。它仅有输人端、输出端及公共端3个引脚,其内部设有过流保护、过热保护及调整管安全保护电路,由于所需外接元件少,使用方便、可靠,因此可作为稳压电源。图4为电源电路连接图。
 
44看门狗电路
系统中把P16作为看门狗的“喂狗”信号;将MAX813的 RESET与单片机的复位信号RST连接。由于单片机每执行一次程序,就会给看门狗器件一个复位信号,这样也可以用手工方式实现复位。当按键按下时,SW-SPST就会在MAX813 引脚产生一个超过200ms的低电平,其实看门狗器件在16s 时间内没有复位,使7引脚输出一个复位信号的作用是相同的,其连接图如图6所示。
45 按键模块
下图为按键模块电路原理图,S1为时加,s2为时减,S3为分钟加调控键,S4是分钟减调控键。
LED_BIT_1 EQU 30H ; 存放8位数码管的段码
LED_BIT_2 EQU 31H
LED_BIT_3 EQU 32H
LED_BIT_4 EQU 33H
LED_BIT_5 EQU 34H
LED_BIT_6 EQU 35H
LED_BIT_7 EQU 36H
LED_BIT_8 EQU 37H ; 存放初始密码
SECOND EQU 60H
MINUTE EQU 61H
HOUR EQU 62H
TCNT EQU 63H
ORG 00H ;初始化程序 ,设置初始密码
SJMP START
ORG 0BH
LJMP INT_T0
START:
MOV DPTR,#TABLE
MOV HOUR,#0
MOV MINUTE,#0
MOV TCNT,#0
MOV TMOD,#01H
MOV TH0,#03ch ;定时50毫秒
MOV TL0,#03ch
MOV IE,#082H
SETB TR0

MOV LED_BIT_1,#00H ;段码存储区清0
MOV LED_BIT_2,#00H
MOV LED_BIT_3,#00H
MOV LED_BIT_4,#00H
MOV LED_BIT_5,#00H
MOV LED_BIT_6,#00H
MOV LED_BIT_7,#79H
MOV LED_BIT_8,#73H
MOV TMOD,#01H
MOV TH0,#0fdh
MOV TL0,#0fdh
MOV IE,#82H

A1:
LCALL DISPLAY ;调用时间显示

JNB P10,S1
JNB P11,S2
JNB P12,S3
JNB P13,S4

LJMP A1
S1: LCALL DLY_S ;去抖动
JB P10,A1
INC HOUR ;秒值加1
MOV A, HOUR
CJNE A,#24,J00 ;判断是否加到60秒
MOV HOUR,#0
LJMP A1
S2: LCALL DLY_S
JB P11,A1
K01: DEC HOUR ;SHI-
MOV A,HOUR
CJNE A,#0,J01 ;判断是否-0分
MOV HOUR,#24
LJMP A1
S3: LCALL DLY_S
JB P12,A1
K02: INC MINUTE ;小时值加1
MOV A,MINUTE
CJNE A,#60,J02 ;判断是否加到24小时
MOV MINUTE,#0
LJMP A1
S4: LCALL DLY_S
JB P13,A1
K03: DEC MINUTE ;小时值加1

MOV A,MINUTE
CJNE A,#0,J03 ;判断是否加到24小时
MOV MINUTE,#59
LJMP A1
J00: JB P10,A1 ;等待按键抬起
LCALL DISPLAY
SJMP J00
J01: JB P11,A1
LCALL DISPLAY
SJMP J01
J02: JB P12,A1
LCALL DISPLAY
SJMP J02
J03: JB P13,A1
LCALL DISPLAY
SJMP J03
INT_T0: MOV TH0,#3ch ;定时器中断服务程序
MOV TL0,#3ch ;对秒,分钟和小时的计数
INC TCNT
MOV A,TCNT
CJNE A,#20,RETUNE ;计时1秒
INC SECOND
MOV TCNT,#0
MOV A,SECOND
CJNE A,#60,RETUNE
INC MINUTE
MOV SECOND,#0
MOV A,MINUTE
CJNE A,#60,RETUNE
INC HOUR
MOV MINUTE,#0
MOV A,HOUR
CJNE A,#24,RETUNE
MOV HOUR,#0
MOV MINUTE,#0
MOV SECOND,#0
MOV TCNT,#0
RETUNE: RETI
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;DIS3闹铃设置子程序

;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;DIS3
DISPLAY: ;显示时间控制子程序
MOV A,SECOND ;显示秒
MOV B,#10
DIV AB
CLR P26
MOVC A,@A+DPTR
MOV P0,A
LCALL DLY_S
SETB P26
MOV A,B
CLR P27
MOVC A,@A+DPTR
MOV P0,A
LCALL DLY_S
SETB P27
CLR P25
MOV P0,#40H ;显示分隔符
LCALL DLY_S
SETB P25
MOV A,MINUTE ;显示分钟
MOV B,#10
DIV AB
CLR P23
MOVC A,@A+DPTR
MOV P0,A
LCALL DLY_S
SETB P23
MOV A,B
CLR P24
MOVC A,@A+DPTR
MOV P0,A
LCALL DLY_S
SETB P24
CLR P22
MOV P0,#40H ;显示分隔符
LCALL DLY_S
SETB P22
MOV A,HOUR ;显示小时
MOV B,#10
DIV AB
CLR P20
MOVC A,@A+DPTR
MOV P0,A
LCALL DLY_S
SETB P20
MOV A,B
CLR P21
MOVC A,@A+DPTR
MOV P0,A
LCALL DLY_S
SETB P21
RET
TABLE: DB 3FH,06H,5BH,4FH,66H
DB 6DH,7DH,07H,7FH,6FH
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;延时
DLY_S: MOV R6,#5 ;延时程序
D1: MOV R7,#100
DJNZ R7,$
DJNZ R6,D1
RET
DLY_L: MOV R5,#50
D2: MOV R6,#100
D3: MOV R7,#100
DJNZ R7,$
DJNZ R6,D3
DJNZ R5,D2
RET
END
第五章程序设计
程序只要完成了初始化,计时,在计时过程中判断按键情况,做相应处理。流程如下。

微调电容是石英振荡器中一个独立的电子元件,利用调节微调电容的容量来调整石英振荡器的频率漂移,即调整日差。相当于机械钟表中的快慢针部件调整日差。微调电容与集成电路中的振荡电容串联在一起而成为石英振荡器的负载电容,改变这一电容的容量会影响石英振荡器的频率。负载电容容量增大,即微调电容容量调大,工作频率下降,可调慢;反之,则可调快。钟表中的微调电容容量范围一般选用5~15PF(微法);5~20PF;5~25PF;5~35PF,可调日差范围+6~+8秒

其工作原理是压电效应,即石英晶体在某些方向受到机械应力后,便会产生电偶极子,相反, 若在石英某方向施以电压,则其特定方向上会产生形变,这一现象称为逆压电效应。若在石英晶体上施加交变电场,则晶体晶格将产生机械振动,当外加电场的频率和晶体的固有振荡频率一致时,则出现晶体的谐振。由于石英晶体在压力下产出的电场强度很小,这样仅需很弱的外加电场即可产生形变,这一特性使压电石英晶体很容易在外加交变电场激励下产生谐振。其振荡能量损耗小,振荡频率极稳定。

石英钟一种计时的器具。提起时钟大家都很熟悉,它是给我们指明时间的一种计时器具,我们每天都用得到它。在日常生活中,时钟准到1秒,就已经足够了。但在许多科学研究或工程技术的领域中对钟点的要求就要高得多。石英钟正是根据这种需要而产生的。它的主要部件是一个很稳定的石英振荡器。将石英振荡器所产生的振荡频率取出来。使它带动时钟指示时间这就是石英钟。目前,最好的石英钟,每天的计时能准到十万分之一秒,也就是经过差不多270年才差1秒。但在科学发达的今天,这种石英钟已被比它还要精确得多的其他类型的时钟(比如电波表)所替代。

电波钟表,也称为无线控制计时钟表 ( 英文名称为:Radio controlled timepieces)。电波钟表作为一个系统的技术原理是:首先,由标准时间授时中心将标准时间信号进行编码(商业码则进行加密),利用低频(20KHz~80KHz)载波方式将时间信号以无线电长波发播出去。电波钟表通过内置微型无线电接收系统接受该低频无线电时码信号,由专用集成芯片进行时码信号解调,再由计时装置内设的控制机构自动调节钟表的计时。通过这样一个技术过程,使得所有接收该标准时间信号的钟表(或其他计时装置)都与标准时间授时中心的标准时间保持高度同步,进而全部电波钟表显示严格一致的时间。
电子钟表的工作原理是根据“电生磁、磁生电”的物理现象设计而成。即由电能转换为磁能,再由磁能转换为机械能,带动时分针运转,达到计时目的。
1、晶体管摆轮钟
以干电池为能源,用晶体管作为开关,摆轮游丝为振荡系统,统一机芯为J1型,外形与普通闹钟一样。
2、晶体闹钟
与晶体管摆轮钟一样性能,加上一个由电能供给的闹时装置。
3、晶体管摆钟
用电子电路控制摆作为振荡元件,外形与机械摆钟相似。
4、石英钟
用“石英晶体”作为振荡器,通过电子分频去控制马达运转,带动指针。走时精度很高。品种有台钟、挂钟、日历钟、闹钟、音乐钟、落地钟,也有汽车钟、舰船钟、天文钟等各种技术用钟。
5、数显钟
也用石英晶体作为振荡器,直接用发光管或液晶显示时间,不用机械传动。具有时、分、秒、日历、周历、月历等多种功能。
6、电子表
以电池为能源代替发条,不用手上弦,有多种结构,外形同机械手表,统称电子手表,根据结构形式与发明的先后,电子表分为四代。
第一代是摆轮游丝电子手表,是以摆轮游丝作为振荡器,以微型电池为能源,通过电子线路驱动摆轮工作。
第二代是音叉电子手表,是以金属音叉作为振荡器,用电子线路输出脉冲电流,使机械音叉振动。
第三代是指针式石英电子手表,是利用石英谐振器作为振荡器,通过电子分频器后驱动步进马达带动轮系和指针。
第四代是数字式石英电子表,它也是采用石英谐振器作为振荡器,不同的是它经过分频、计数和译码后利用显示器件以数字的形式来显示时间。
前三代电子手表均带有传统的机械指针机构,而第四代采用大规模集成电路,完全脱离了传统的机械结构的全电子手表。
种类不同,原理不同,但万变不离其中。


欢迎分享,转载请注明来源:内存溢出

原文地址: https://outofmemory.cn/zz/13206195.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-06-20
下一篇 2023-06-20

发表评论

登录后才能评论

评论列表(0条)

保存